Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20688 Discussions

Simulating a QSYS system featuring a HPS

Altera_Forum
Honored Contributor II
1,120 Views

Hello, 

 

I'm developing a QSYS system to transmit data via LVDS. Within this module there are the common blocks of a qsys system with a processor, namely memory, jtag, HPS, parallel IOs etc. There is also a custom module in there. The simulation model for this custom module is the same as the synthesis model. 

 

In QSYS, after creating the QSYS testbench system and compiling it, I run ModelSim Starter Edition to run the generated tcl script in the mentor/ directory, Everything compiles well, but while elaborating many warnings appear, and most are related to systemverilog files auto-generated by QSYS. A fatal error concludes the elaboration, elaborating nothing. What am I doing wrong? 

 

I know it might not be possible to simulate a QSYS system in ModelSim Starter Edition, but is there any way I can simulate the system I've got? 

 

regards
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
261 Views

 

--- Quote Start ---  

 

What am I doing wrong? 

 

--- Quote End ---  

 

Its hard to tell, since you did not provide the error messages. 

 

Have you tried simulating a Qsys system before? Do you know what the Avalon-MM Master BFM is? If not, start off simple, read through this tutorial ... 

 

http://www.alterawiki.com/wiki/using_the_usb-blaster_as_an_sopc/qsys_avalon-mm_master_tutorial 

 

I'd recommend starting with the tutorial example, and then start adding the components you want to test. 

 

The HPS component probably requires the AXI BFM master. This BFM requires either a subscription edition of Quartus or the purchase of a $500 license. Trust me, just go with the Avalon-MM BFM :) 

 

Cheers, 

Dave
0 Kudos
Reply