Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20641 Discussions

Swapping RAM and registers

Altera_Forum
Honored Contributor II
1,062 Views

Hi, 

I'm synthesizing a large VHDL component and I'd like to swap some elements from memory to registers to compare the results. Is it possible/how? 

Thank you.
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
176 Views
0 Kudos
Altera_Forum
Honored Contributor II
176 Views

That's exactly what I want. 

Thanks.
0 Kudos
Reply