Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20704 Discussions

User Module Error

Altera_Forum
Honored Contributor II
1,216 Views

Hello guys, 

 

I am new to Quartus and I have just started using it for my project. I am using a user module for square root function in my code. According to my understanding , I have instantiated the user module in my main module. It is as below: 

 

mysqrt_alt_restoring_sqrt_cta mysqrt(.aclr(clear),.clken(clkenable),.clock(CLOCK_50),.rad(vz),.root_result(sqroot)); 

 

The problem that I am facing is when I compile the code it gives me following error : 

 

Error (10170): Verilog HDL syntax error at test_mestimation.v(166) near text "mysqrt"; expecting "<=", or "=" 

 

I am not able to figure out why this error is arising, the syntax looks perfectly fine.  

 

Could you guys please help!! thanks a lot in advance.
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
257 Views

It looks to me like it's not finding the module definition for "mysqrt_alt_restoring_sqrt_cta". Have you added the containing file to the project? 

 

Jake
0 Kudos
Altera_Forum
Honored Contributor II
257 Views

Well I am sorry I should have mentioned it is a Megawizard generated module. And yes i have added the .v file .thanks

0 Kudos
Reply