Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20688 Discussions

What is the use/relevence of inst in .bdf files.

Altera_Forum
Honored Contributor II
1,010 Views

I'm just starting to use Quartus with Block Design Files -- very impressed. Coming from a KiCAD background it seems natural.  

 

Two things have me puzzled: 

What is the relevance on "inst" in each block. As I add components the number seems to increase. Is it just a way of identifying a block for debugging etc. or is there more to it.  

 

Second, its unclear to me what the relevance/difference between  

"Analysis & Synthesis" and  

"Fitter (Place & Route)" are. 

 

Do I use both, one or none before doing a compile, none, once, or every time. 

 

Thanks in advance guys, hopefully questions like these will be helpful to other newbies. 

John
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
275 Views

Hi John, 

 

--- Quote Start ---  

What is the relevance on "inst" in each block. As I add components the number seems to increase. Is it just a way of identifying a block for debugging etc. or is there more to it. 

--- Quote End ---  

 

Yes, It`s for identification purpose, it can be modified using “Symbol Properties”. 

 

--- Quote Start ---  

Second, its unclear to me what the relevance/difference between  

"Analysis & Synthesis" and  

"Fitter (Place & Route)" are. 

 

Do I use both, one or none before doing a compile, none, once, or every time. 

--- Quote End ---  

 

These are the Compilation steps, for creation of netlist you must do first "Analysis & Synthesis". 

Refer “Intel Quartus Prime Integrated Synthesis Design and Compilation Flow” & “Intel Quartus Prime Design Stages for Incremental Compilation” from handbook. 

https://www.altera.com/documentation/mwh1409960181641.html#mwh1409959376628 

 

Let me know if this has helped resolve the issue you are facing or if you need any further assistance. 

 

Best Regards 

Vikas Jathar  

(This message was posted on behalf of Intel Corporation)
0 Kudos
Altera_Forum
Honored Contributor II
275 Views

Thanks Jatharvk, big help. 

Got it! 

John
0 Kudos
Reply