Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20693 Discussions

altera quartus support for real data

Altera_Forum
Honored Contributor II
2,748 Views

How to solve this error while compiling in altera quartus II 

 

Error (10172): Verilog HDL unsupported feature error : real variable data type values are not supported 

 

Thanks
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
1,668 Views

Convert your data to (scaled) integer, or design your own floating point data processing blocks.

0 Kudos
Altera_Forum
Honored Contributor II
1,668 Views

You cannot use real data type, because it has no meaning for hardware.  

Altera provides floating point IP blocks, but your variables carrying them must be reg data type. 

 

You can get the user guide here: 

https://www.altera.com/en_us/pdfs/literature/ug/ug_altfp_mfug.pdf
0 Kudos
Reply