Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20703 Discussions

hc4538 Monostable Multivibrator in VHDL

Altera_Forum
Honored Contributor II
1,611 Views

Hello, I'm new to VHDL and trying to model the chip hc4538. As can be seen in the datasheet, the output's pulse length is determined by Tau once the Vref is reached. I'm not exactly sure how to account for that in VHDL since it uses external components. Is it actually necessary to account for that? I've attached the code that I've written thus far below.

0 Kudos
0 Replies
Reply