Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20704 Discussions

how to call quartus_sim in a tcl file

Altera_Forum
Honored Contributor II
1,085 Views

I wrote "quartus_sim filtref --vector_source=fir.vwf" in a tcl file. However, an error 

occurred when I ran it. As followed: 

invalid command name "quartus_sim" 

while executing 

"unknown_original quartus_sim filtref --vector_source=fir.vwf" 

("eval" body line 1) 

invoked from within 

"eval unknown_original $cmd $args" 

(procedure "::unknown" line 5) 

invoked from within 

"quartus_sim filtref --vector_source=fir.vwf" 

(file "setup_proj.tcl" line 61) 

invoked from within 

"source setup_proj.tcl" 

("eval" body line 1) 

invoked from within 

"eval $tcl_command" 

 

What I don't understand is that it would be OK if I type "quartus_sim filtref --vector_source=fir.vwf" in the interactive Tcl shell session that displays tcl>prompt. Then how can I generate a system call in a tcl file as I do in the Tcl shell?
0 Kudos
1 Reply
JSpil1
Beginner
371 Views
------------------------------------------------ invalid command name "quartus_sim" while executing "unknown_original quartus_sim --tcl_eval <tcl command>" ("eval" body line 1) invoked from within "eval unknown_original $cmd $args" (procedure "::unknown" line 7) invoked from within "quartus_sim --tcl_eval <tcl command>" invoked from within "execute_flow -compile_and_simulate" invoked from within "if {$make_assignments} { set_global_assignment -name FAMILY "Cyclone V" set_global_assignment -name DEVICE 5CSEMA5F31C6 set_global_assignment -name..." (file "Multiplier2x3Bit.tcl" line 42) ------------------------------------------------

I'm also experiencing a similar issue when calling "execute_flow -compile_and_simulate" from my tcl script. (Logs above). I'll do some digging, I'm wondering if this has something to do with packages that are loaded automatically in the gui but need to be manually loaded when running a script. Any support on this would be great.

0 Kudos
Reply