Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers

idea

Altera_Forum
Honored Contributor II
1,051 Views

Hello  

 

I need to turn on /of a led using de1 cyclone v then receive  

which led is turnon in my terminal  

How can I do this ? I dont have any idea which protocole can I use 

 

I thought of serial communication ethernet using hps fpga or just using eclipse Nios II? 

pleease help which simple solution can I do ?  

THANK YOU.
0 Kudos
5 Replies
Altera_Forum
Honored Contributor II
332 Views

Hi, 

Refer the following links that will help to you, 

https://www.youtube.com/watch?v=2wuket4-q7q 

Refer “Chapter 7 Examples for using both HPS SoC and FGPA” from DE1-SoC User manual 

http://www.terasic.com.tw/cgi-bin/page/archive.pl?language=english&categoryno=165&no=836&partno=4 

http://terasic.yubacollegecompsci.com/resources/de1-soc_control_panel.pdf 

 

Best Regards 

Vikas Jathar  

(This message was posted on behalf of Intel Corporation)
0 Kudos
Altera_Forum
Honored Contributor II
332 Views

Thank u alot. 

Yeah I follow this Tuturial https://www.youtube.com/watch?v=2wuket4-q7q but there is a problem when I try to run Hello word in shell commend  

:when I launch make  

arm-linux-gnueabihf-gcc-static-g-wall -I/ip/altera/hps/altera_hps/hwlib/include -c main.c -o-main.o 

process began :create Process<NULL, arm-linux-gnueabihf-gcc-static-g-wall -I/ip/altera/hps/altera_hps/hwlib/include -c main.c -o-main.o ---> failed  

the error was : make <e=2> the system cannot find the file specified . 

 

when I try to go to this folder hwlib/include is not exsist. 

my quartus II is version lite 17.1. have it any relation with the version of quartusII ?
0 Kudos
Altera_Forum
Honored Contributor II
332 Views

Hi, 

Hope you might able to resolve the issue which was you facing with the solution provided in thread, 

https://www.alteraforum.com/forum/showthread.php?t=58760 

 

Best Regards 

Vikas Jathar  

Intel Customer Support – Engineering 

(Under Contract to Intel)
0 Kudos
Altera_Forum
Honored Contributor II
332 Views

Hello, 

1-I resolve the problem I install a standard version quartus II I didn't get the same problem  

but this version can t generate the file .sof  

I dont have a licence file what can I do ? 

2- I need to build a timer,I use hps-fpga how can I do this in qsys designer and program c ?
0 Kudos
Altera_Forum
Honored Contributor II
332 Views

Hi, 

1. Standard version requires the license, what error occurred during .sof file generation? Can you provide screenshots? 

2. For timer refer the thread, 

https://www.alteraforum.com/forum/showthread.php?t=58791 

 

Best Regards 

Vikas Jathar  

(This message was posted on behalf of Intel Corporation)
0 Kudos
Reply