Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20704 Discussions

image read in vhdl

Altera_Forum
Honored Contributor II
4,363 Views

i want to read an image (or preferably a matrix of size 8x8) pixel by pixel in one clock cycle(if rising edge then read one pixel in 2nd rising edge 2nd pixel)....thanks in advance

0 Kudos
21 Replies
Altera_Forum
Honored Contributor II
129 Views

This code is pretty much the same as before. There are still several problems: 

d(n) gets a value every 128 clocks, and takes 8192 clocks to fill. Also- d(n) is always the old value of temp_approx because you registered it. 

In integer arithmatic, 1/2 = 0. So your temp_approx value will be 2*(n+2). 

 

You should see all of this in your simulation waveforms.
0 Kudos
Reply