Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20704 Discussions

matrix array in vhdl

Altera_Forum
Honored Contributor II
1,089 Views

Hello. I want to access a certain element in an array and place a value in it. What is its syntax? Here is my array.  

 

TYPE VOQ_ROW IS ARRAY (O TO 4) OF STD_LOGIC_VECTOR(3 DOWNTO 0); TYPE VOQ_MATRIX IS ARRAY(O TO 1) OF VOQ_ROW;
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
372 Views

Where is your attempt?

0 Kudos
Reply