Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20680 Discussions

physical interface between Cyclone III & DAC

Altera_Forum
Honored Contributor II
6,389 Views

Hello to all, 

 

I am working on a test waveform generator project using the Cyclone III starter kit (3C25N). Once synthesized on the FPGA, the waveform will be sent to a DAC so that it can be viewed using an oscilloscope.  

 

I'm using the TI DAC5672 eval module which has a 34-pin header for input.  

 

Is there any way I can physically interface the DAC with the FPGA? I've worked with an FPGA before but never on interfacing a DAC with it. Extensive google searches did not turn up anything useful. 

 

Thanks in advance for the help.
20 Replies
Altera_Forum
Honored Contributor II
4,856 Views

You can review the documentation of the Terasic THDB_ADA board, that can connect to the C3 starter kit.

Altera_Forum
Honored Contributor II
4,856 Views

Thanks a lot for the info!  

 

In general, does Altera provide ways to interface with CMOS I/O devices such as the DAC evaluation module I mentioned. 

 

I contacted TI support regarding this and their recommendation was to contact Altera support.
Altera_Forum
Honored Contributor II
4,856 Views

The DAC can be directly connected to FPGA 3.0V or 3.3V single ended IO pins, as e.g. the said THDB_ADA board does. The C3 starter kit exposes IOs only through the HSMC connector, so you need to make an adapter that connects your eval module to this interface. 

 

P.S.: All C3 starter kit IOs are in fact 2.5V supplied, so you have to check, if the eval module can be driven by this level, otherwise you need level converters. 

 

Interestingly, the THDB_ADA board also interfaces the 2.5V FPGA IOs directly, although the respective requires even a 2.1V Vih level (DAC5672 2.0 V). A good circuit layout would be required to achieve a reliable signalling under this circumstances.
Altera_Forum
Honored Contributor II
4,856 Views

 

--- Quote Start ---  

Hello to all, 

 

I am working on a test waveform generator project using the Cyclone III starter kit (3C25N). Once synthesized on the FPGA, the waveform will be sent to a DAC so that it can be viewed using an oscilloscope.  

 

I'm using the TI DAC5672 eval module which has a 34-pin header for input.  

 

Is there any way I can physically interface the DAC with the FPGA? I've worked with an FPGA before but never on interfacing a DAC with it. Extensive google searches did not turn up anything useful. 

 

Thanks in advance for the help. 

--- Quote End ---  

Page 18 of the evaluation board manual; 

 

http://focus.ti.com/docs/toolsw/folders/print/dac5672evm.html 

 

Shows the output connector uses 100-mil headers. 

 

The Cyclone III starter kit 

 

http://www.altera.com/products/devkits/altera/kit-cyc3-starter.html 

 

uses an Altera HSMC connector. 

 

You need to buy an HSMC break-out board that converts the signals to 100-mil headers.  

 

http://www.terasic.com.tw/cgi-bin/page/archive.pl?language=english&categoryno=78&no=495 

 

These headers are normally shipped with the evaluation boards (at least they were with the Stratix IV boards). Do you have these connectors? 

 

This might work too; 

 

http://www.terasic.com.tw/cgi-bin/page/archive.pl?language=english&categoryno=67&no=218 

 

Then you have to analyze the timing between your FPGA and DAC and setup appropriate TimeQuest timing constraints. 

 

Cheers, 

Dave
Altera_Forum
Honored Contributor II
4,856 Views

 

--- Quote Start ---  

You need to buy an HSMC break-out board that converts the signals to 100-mil headers. 

--- Quote End ---  

 

The breakout board that I know (the one documented with the Cyclone III c120 development kit) has a serious disadvantage: It hasn't any ground pins at the debug header. I don't think it's particularly suited to connect single-ended high speed signals.
Altera_Forum
Honored Contributor II
4,856 Views

Awesome! Looks like the HSMC-GPIO board will do the job.  

 

Would you recommend connecting the male-male headers using jumpers? Or maybe a 34-pin ribbon cable...in that case 6 pins in the GPIO board will be left unconnected. 

 

Thanks again!
Altera_Forum
Honored Contributor II
4,856 Views

 

--- Quote Start ---  

 

Would you recommend connecting the male-male headers using jumpers? Or maybe a 34-pin ribbon cable...in that case 6 pins in the GPIO board will be left unconnected. 

 

--- Quote End ---  

I'd go with whatever you have on-hand. I think the GPIO adapter probably uses a connector the same size as an IDE connector. You could get an IDE cable, cut one end off and then crimp on new pins and plug them into a remap header (crossing signals where needed) to connect to the TI board. But that would require you have the appropriate crimp tool. 

 

The main thing to remember is that if you are running these signals at a ~100MHz, you might get reflections and see data glitches or issues. If you have an oscilloscope, you can probe at the pins of the DAC to see what the signals look like. Make sure to connect as many grounds on the cable as you can. Give it a try and see what happens. 

 

Cheers, 

Dave
Altera_Forum
Honored Contributor II
4,856 Views

 

--- Quote Start ---  

You can review the documentation of the Terasic THDB_ADA board, that can connect to the C3 starter kit. 

--- Quote End ---  

 

 

After reviewing the documentation, I noticed the following line: "DA and AD converters do no support DC signalling" 

 

Does that mean that I can't output an analog signal with a DC offset?
Altera_Forum
Honored Contributor II
4,856 Views

 

--- Quote Start ---  

 

Does that mean that I can't output an analog signal with a DC offset? 

--- Quote End ---  

Look at the DAC5672 eval module schematic on p25 

 

http://focus.ti.com/lit/ug/slau139b/slau139b.pdf 

 

Its a transformer coupled output via a T1-1T, which is a minicircuits part 

 

http://www.minicircuits.com/pdfs/t1-1t-kk81.pdf 

 

So you have an output bandwidth limitation of 0.08-200MHz. 

 

However, its your evaluation board once you've paid for it, so hack it at will. However, you will need to buffer the output if you remove the transformer. 

 

Cheers, 

Dave
Altera_Forum
Honored Contributor II
4,856 Views

 

--- Quote Start ---  

Look at the DAC5672 eval module schematic on p25 

 

--- Quote End ---  

 

 

Thanks for the reply!  

 

Actually I was referring to the Terasic Board which has an on-board DAC.  

I've attached the manual for it (refer to Page 8).  

 

The Analog Devices DAC9797 used in the board can be both AC & DC coupled. But I'm not sure why the Terasic board says no DC signalling.
Altera_Forum
Honored Contributor II
4,856 Views

Oops! I meant ad9767

Altera_Forum
Honored Contributor II
4,856 Views

 

--- Quote Start ---  

 

Actually I was referring to the Terasic Board which has an on-board DAC.  

I've attached the manual for it (refer to Page 8).  

 

The Analog Devices DAC9797 used in the board can be both AC & DC coupled. But I'm not sure why the Terasic board says no DC signalling. 

--- Quote End ---  

You need to look at the schematic, not the user manual. Its likely the schematic has a transformer output. 

 

The DAC output is a current. Its much easier for evaluation board developers to put termination resistors and a transformer on the output, than it is to put a wide bandwidth amplifier that preserves DC. There are not many devices that will do that, eg. a wideband op-amp, most RF amplifiers are AC-coupled devices. 

 

These are high-speed DACs, and are generally not used in applications requiring a DC value. 

 

Cheers, 

Dave
Altera_Forum
Honored Contributor II
4,856 Views

 

--- Quote Start ---  

These are high-speed DACs, and are generally not used in applications requiring a DC value. 

--- Quote End ---  

 

 

I don't agree. This sounds like high speed DACs (and also ADCs) won't be suited for wideband DC capable applications. But they surely are, and a lot of applications is relying on their DC specification. The Terasic THDB_ADA board (as well as several Altera DSP DevKits) is apparently targetting to "passband" RF applications that don't need DC capability. For these, transformer coupling is the most simple (and cheapest) way to achieve good performance. 

 

I noticed, that the AC coupling of these DevKits is unsuitable for many users, who are dealing with wideband DSP applications. It's easy to remove the transformers, and the boards already have jumper options to DC couple the ADC and DAC analog interfaces. But particularly the high end DevKits won't look bad with a state-of-the-art DC capable interface. The transformer interface could be still provided as an assembly option.
Altera_Forum
Honored Contributor II
4,856 Views

 

--- Quote Start ---  

But particularly the high end DevKits won't look bad with a state-of-the-art DC capable interface. 

--- Quote End ---  

What would you suggest as a state-of-the-art DC capable interface? 

 

These DACs are current output devices, so you'd need a wideband current-to-voltage circuit involving probably a current-feedback op-amp (CFA) or voltage-feedback op-amp (VFA) op-amp circuit (or termination resistors and a buffer op-amp). You'd want good DC offset performance ... I vaguely recall CFAs aren't that brilliant in that area, but I haven't looked for a while. 

 

What would be the maximum bandwidth of this DC coupled system, and what number-of-bits of dynamic range could the DAC generate? 

 

What are examples of wideband DSP applications that involve DC (other than oscilloscope type applications)? The wideband applications I deal with all involve RF amplifiers, which are inherently AC-coupled. So I'm just interested in what others are doing. 

 

Cheers, 

Dave
Altera_Forum
Honored Contributor II
4,856 Views

Many kinds of test and measurement systems, e.g. arbitrary waveform generators will require DC coupled DAC outputs. The portfolio of major instrument vendors can tell about state-of-the-art. 

 

Personally, I have implemented systems up to 16 Bit / 500 MSPS. Other than for a communication application, you don't necessarily require the full effective bitwidth at high frequencies. But you want precise high speed waveforms in time domain and high amplitude resolution at lower frequencies. That means, you can e.g. afford to generate the DAC clock from a FPGA, which would be incredible in the RF world. 

 

Amplifiers are often CFA or fully differential types.
Altera_Forum
Honored Contributor II
4,856 Views

 

--- Quote Start ---  

Many kinds of test and measurement systems, e.g. arbitrary waveform generators will require DC coupled DAC outputs. The portfolio of major instrument vendors can tell about state-of-the-art. 

 

Personally, I have implemented systems up to 16 Bit / 500 MSPS. Other than for a communication application, you don't necessarily require the full effective bitwidth at high frequencies. But you want precise high speed waveforms in time domain and high amplitude resolution at lower frequencies. That means, you can e.g. afford to generate the DAC clock from a FPGA, which would be incredible in the RF world. 

 

Amplifiers are often CFA or fully differential types. 

--- Quote End ---  

 

 

Thanks! 

 

Cheers, 

Dave
Altera_Forum
Honored Contributor II
4,856 Views

 

--- Quote Start ---  

It's easy to remove the transformers, and the boards already have jumper options to DC couple the ADC and DAC analog interfaces.  

--- Quote End ---  

 

 

I was able to successfully set up the interface between the DAC and the FPGA. So thanks for the all the help/suggestions on that part. I am trying to implement some form of offset control at the output of the DAC. Since the output is AC-coupled I'm going to remove the transformer and solder 2 surface-mount resistors on the Terasic board. Is that what you meant by jumper options?
Altera_Forum
Honored Contributor II
4,856 Views

 

--- Quote Start ---  

Is that what you meant by jumper options? 

--- Quote End ---  

 

Yes. More exactly, for channel A, you need to desolder T5 and place a zero ohm jumper or a piece of wire for R60. R61 won't be mounted, because this DAC output is out of phase and would cancel the output signal, if connected. 

 

Doing so, you get a 0 - 1 V unipolar output.
Altera_Forum
Honored Contributor II
4,856 Views

I'm trying to implement a PID controller on my Cyclone III Starter Kit with attached DAC and so I'm looking for a way to output positive or negative DC voltages (-1 to 1 volts, say). Is there any way to modify the DAC on the DAC in order to achieve this output? Also, is there any way to modify the ADC to read in a DC voltage? 

 

Thanks!
Altera_Forum
Honored Contributor II
4,777 Views

 Originally Posted by FvM 

The breakout board that I know (the one documented with the Cyclone III c120 development kit) has a serious disadvantage: It hasn't any ground pins at the debug header. I don't think it's particularly suited to connect single-ended high speed signals.

Oh man, that's bogus ... but you are right. Attached is the breakout header schematic that was delivered with the Stratix IV GX development kit.

 

Perhaps the HSMC to GPIO breakout board would be better then. The original poster can download the schematic for that.

 

Thanks Frank!

 

Cheers,

Dave

Reply