Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
21409 Discussions

quartus 10 wont generate sof file

Altera_Forum
Honored Contributor II
5,266 Views

Hi everybody, 

 

I have a serious problem concerning generating sof file using Quartus 10. I am using a DE2 BOARD(CYCLONE EP2C35 ) and when I compile my project everything is fine, but it wont generate the sof file so I can program my DE2 BAORD. by the way I download the quartus 10 from ALTERA website.  

 

any help will be very appreciated. 

 

David,
0 Kudos
11 Replies
Altera_Forum
Honored Contributor II
1,556 Views

You will probably need to give more details about your problem for anyone to be able to help you. 

 

Do you get any error messages? Are there any relevant warning messages?
0 Kudos
Altera_Forum
Honored Contributor II
1,556 Views

Sorry, 

 

But I am using the Quartus 10 evaluation mode. And I just realized that I have a warning that say quote "Warning: Can't generate programming files because you are currently using the Quartus II software in Evaluation Mode" so I guess it wont generate the sof file. 

 

when I bought the DE2 board I thought I could program my board with an evaluation software version of quartus. Now I guess I have to buy a license fo that... 

 

David,
0 Kudos
Altera_Forum
Honored Contributor II
1,556 Views

The free quartus web edition (http://www.altera.com/products/software/quartus-ii/web-edition/qts-we-index.html) can generate sof files. The later versions of the web edition even include Nios II/e for free. So for many projects there is no need to buy a license.

0 Kudos
Altera_Forum
Honored Contributor II
1,556 Views

thank you for the information Kevin. Now I have downloaded the web edition and I am using a very simple project(below) to test out the compilation. But I have the same surprise as before. the sof file wont generate.  

I ame using Windows xp 32 bit as OS, and I tried my project on C and D disk, in my project I assigned all IO with appropriate pin, but nothing...  

again thank you for you quick respond 

 

 

 

 

library ieee; 

 

use ieee.std_logic_1164.all; 

 

entity angate is  

port( 

SW1, SW2 : in std_logic; 

LED0: out std_logic 

); 

end entity angate; 

 

architecture a of angate is  

begin 

LED0 <= SW1 and SW2; 

 

end architecture a;
0 Kudos
Altera_Forum
Honored Contributor II
1,556 Views

Do you get the project to compile completely with no errors but simply don't have the SOF file? Are you sure you are running the web edition version? It may getting confused about the licenses... it might be confused and think you would rather have the 30 day license of the full feature active versus the web edition.  

 

If you have access to another PC I would try installing the web edition on a clean PC. If that works then you know you have a licensing issue. if that does not work then you have another issue. 

 

If you want a second opinion, you can archive your design and post it and see if someone else can generate a sof. 

David
0 Kudos
Altera_Forum
Honored Contributor II
1,556 Views

license of triple speed Ethernet

0 Kudos
Altera_Forum
Honored Contributor II
1,556 Views

Hi, 

I am using Quaturs II 7.2SP1 and I built a project with SOPbuilder to control an array LED. 

However, I cannot received a .sof file. Program compile is successful
0 Kudos
Altera_Forum
Honored Contributor II
1,556 Views

Have a look at the assembler report. It should tell you what file were generated, and where. If no .sof is generated it should say why too.

0 Kudos
Altera_Forum
Honored Contributor II
1,556 Views

I had the same problem untill I bought a license. I am using the NIOS II/s core.

0 Kudos
Altera_Forum
Honored Contributor II
1,556 Views

 

--- Quote Start ---  

I had the same problem untill I bought a license. I am using the NIOS II/s core. 

--- Quote End ---  

 

 

I starting to program my de0-nano with the 32bit-counter example from the terasic de0-nano manual. It use the schematic mode. I run the example and the compilation is ok, "only" some warning. I run a simulation and it's ok. The problem is that the quartus dont provide the .sof file. Im using the quartus II 12.1v web edition. 

 

Thanks and best regards
0 Kudos
Altera_Forum
Honored Contributor II
1,556 Views

What does the assembler report say?

0 Kudos
Reply