## Generated SDC file "timecode.out.sdc" ## Copyright (C) 2017 Intel Corporation. All rights reserved. ## Your use of Intel Corporation's design tools, logic functions ## and other software and tools, and its AMPP partner logic ## functions, and any output files from any of the foregoing ## (including device programming or simulation files), and any ## associated documentation or information are expressly subject ## to the terms and conditions of the Intel Program License ## Subscription Agreement, the Intel Quartus Prime License Agreement, ## the Intel FPGA IP License Agreement, or other applicable license ## agreement, including, without limitation, that your use is for ## the sole purpose of programming logic devices manufactured by ## Intel and sold by Intel or its authorized distributors. Please ## refer to the applicable agreement for further details. ## VENDOR "Altera" ## PROGRAM "Quartus Prime" ## VERSION "Version 17.1.0 Build 590 10/25/2017 SJ Standard Edition" ## DATE "Wed Mar 13 15:27:25 2024" ## ## DEVICE "5CGXFC5C6F23C6" ## #************************************************************** # Time Information #************************************************************** set_time_format -unit ns -decimal_places 3 #************************************************************** # Create Clock #************************************************************** create_clock -name {clk_1} -period 2.500 -waveform { 0.000 1.000 } [get_nets {pll10_500|pll10_500_inst|altera_pll_i|outclk_wire[1]~CLKENA0}] create_clock -name {clk_2} -period 2.500 -waveform { 0.000 1.000 } [get_nets {pll10_500|pll10_500_inst|altera_pll_i|outclk_wire[2]~CLKENA0}] create_clock -name {clk_3} -period 2.500 -waveform { 0.000 1.000 } [get_nets {pll10_500|pll10_500_inst|altera_pll_i|outclk_wire[3]~CLKENA0}] create_clock -name {clk_4} -period 2.500 -waveform { 0.000 1.000 } [get_nets {pll10_500|pll10_500_inst|altera_pll_i|outclk_wire[4]~CLKENA0}] create_clock -name {clk_5} -period 2.500 -waveform { 0.000 1.000 } [get_nets {pll10_500|pll10_500_inst|altera_pll_i|outclk_wire[5]~CLKENA0}] create_clock -name {clk_6} -period 2.500 -waveform { 0.000 1.000 } [get_nets {pll10_500|pll10_500_inst|altera_pll_i|outclk_wire[6]~CLKENA0}] create_clock -name {clk_7} -period 2.500 -waveform { 0.000 1.000 } [get_nets {pll10_500|pll10_500_inst|altera_pll_i|outclk_wire[7]~CLKENA0}] create_clock -name {clk_8} -period 2.500 -waveform { 0.000 1.000 } [get_nets {pll10_500|pll10_500_inst|altera_pll_i|outclk_wire[8]~CLKENA0}] #************************************************************** # Create Generated Clock #************************************************************** #************************************************************** # Set Clock Latency #************************************************************** #************************************************************** # Set Clock Uncertainty #************************************************************** set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[6].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[6].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[6].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[6].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[6].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[6].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[6].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[6].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[5].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[5].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[5].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[5].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[5].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[5].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[5].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[5].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[1].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[1].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[1].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[1].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[1].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[1].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[1].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[1].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[7].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[7].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[7].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[7].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[7].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[7].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[7].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[7].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[4].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[4].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[4].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[4].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[4].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[4].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[4].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[4].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[3].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[3].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[3].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[3].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[3].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[3].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[3].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[3].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[2].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[2].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[2].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[2].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[2].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[2].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[2].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[2].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[6].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[6].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[6].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[6].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[5].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[5].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[5].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[5].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[1].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[1].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[1].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[1].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[7].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[7].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[7].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[7].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[4].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[4].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[4].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[4].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[3].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[3].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[3].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[3].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[2].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[2].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[2].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[2].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -rise_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[6].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[6].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[6].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[6].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[5].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[5].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[5].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[5].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[1].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[1].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[1].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[1].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[7].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[7].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[7].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[7].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[4].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[4].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[4].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[4].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[3].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[3].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[3].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[3].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[2].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[2].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[2].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[2].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -setup 0.120 set_clock_uncertainty -fall_from [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] -hold 0.060 set_clock_uncertainty -rise_from [get_clocks {sys_clk_in}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] 0.220 set_clock_uncertainty -rise_from [get_clocks {sys_clk_in}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] 0.220 set_clock_uncertainty -rise_from [get_clocks {sys_clk_in}] -rise_to [get_clocks {sys_clk_in}] -setup 0.280 set_clock_uncertainty -rise_from [get_clocks {sys_clk_in}] -rise_to [get_clocks {sys_clk_in}] -hold 0.270 set_clock_uncertainty -rise_from [get_clocks {sys_clk_in}] -fall_to [get_clocks {sys_clk_in}] -setup 0.280 set_clock_uncertainty -rise_from [get_clocks {sys_clk_in}] -fall_to [get_clocks {sys_clk_in}] -hold 0.270 set_clock_uncertainty -fall_from [get_clocks {sys_clk_in}] -rise_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] 0.220 set_clock_uncertainty -fall_from [get_clocks {sys_clk_in}] -fall_to [get_clocks {pll10_500|pll10_500_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}] 0.220 set_clock_uncertainty -fall_from [get_clocks {sys_clk_in}] -rise_to [get_clocks {sys_clk_in}] -setup 0.280 set_clock_uncertainty -fall_from [get_clocks {sys_clk_in}] -rise_to [get_clocks {sys_clk_in}] -hold 0.270 set_clock_uncertainty -fall_from [get_clocks {sys_clk_in}] -fall_to [get_clocks {sys_clk_in}] -setup 0.280 set_clock_uncertainty -fall_from [get_clocks {sys_clk_in}] -fall_to [get_clocks {sys_clk_in}] -hold 0.270 #************************************************************** # Set Input Delay #************************************************************** #************************************************************** # Set Output Delay #************************************************************** #************************************************************** # Set Clock Groups #************************************************************** #************************************************************** # Set False Path #************************************************************** set_false_path -from [get_ports {pps_in}] set_false_path -from [get_ports {sys_clk_in}] #************************************************************** # Set Multicycle Path #************************************************************** #************************************************************** # Set Maximum Delay #************************************************************** #************************************************************** # Set Minimum Delay #************************************************************** #************************************************************** # Set Input Transition #**************************************************************