Info: ******************************************************************* Info: Running Quartus Prime Shell Info: Version 16.0.0 Build 211 04/27/2016 SJ Pro Edition Info: Copyright (C) 1991-2016 Altera Corporation. All rights reserved. Info: Your use of Altera Corporation's design tools, logic functions Info: and other software and tools, and its AMPP partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Altera Program License Info: Subscription Agreement, the Altera Quartus Prime License Agreement, Info: the Altera MegaCore Function License Agreement, or other Info: applicable license agreement, including, without limitation, Info: that your use is for the sole purpose of programming logic Info: devices manufactured by Altera and sold by Altera or its Info: authorized distributors. Please refer to the applicable Info: agreement for further details. Info: Processing started: Mon May 1 12:02:23 2017 Info: Command: quartus_sh -t scripts/pre_flow_pr.tcl compile top top Info: Quartus(args): compile top top Info: Using INI file /var/scratch/avdploeg/TrickleSimple/quartus.ini Info: Running pre-flow script Info: Project name: top Info: Revision name: top Info: Checking for OpenCL SDK installation, environment should have ALTERAOCLSDKROOT defined Info: ALTERAOCLSDKROOT=/cm/shared/package/altera_pro/16.0/hld Info: Compiling import revision -> nothing to be done here! Warning (125092): Tcl Script File board/board.qip not found Info (125063): set_global_assignment -name QIP_FILE board/board.qip Info: Device part name is 10AX115N3F40E2SG Info: Compiling import revision -> nothing to be done here! Info: Generating kernel_system.qsys: Info: qsys-generate -syn --output-directory=kernel_system/ --family="Arria 10" --part=10AX115N3F40E2SG kernel_system.qsys Info (23030): Evaluation of Tcl script scripts/pre_flow_pr.tcl was successful Info: Quartus Prime Shell was successful. 0 errors, 1 warning Info: Peak virtual memory: 1278 megabytes Info: Processing ended: Mon May 1 12:02:37 2017 Info: Elapsed time: 00:00:14 Info: Total CPU time (on all processors): 00:00:56 Info: ******************************************************************* Info: Running Quartus Prime Compiler Database Interface Info: Version 16.0.0 Build 211 04/27/2016 SJ Pro Edition Info: Copyright (C) 1991-2016 Altera Corporation. All rights reserved. Info: Your use of Altera Corporation's design tools, logic functions Info: and other software and tools, and its AMPP partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Altera Program License Info: Subscription Agreement, the Altera Quartus Prime License Agreement, Info: the Altera MegaCore Function License Agreement, or other Info: applicable license agreement, including, without limitation, Info: that your use is for the sole purpose of programming logic Info: devices manufactured by Altera and sold by Altera or its Info: authorized distributors. Please refer to the applicable Info: agreement for further details. Info: Processing started: Mon May 1 12:02:38 2017 Info: Command: quartus_cdb top -c base --import_design --file base.qdb --overwrite Info: Quartus(args): --project top -c base --file base.qdb --overwrite Info: Using INI file /var/scratch/avdploeg/TrickleSimple/quartus.ini Warning (125092): Tcl Script File board/board.qip not found Info (125063): set_global_assignment -name QIP_FILE board/board.qip Info: Running design::import_design -file base.qdb -overwrite Critical Warning (18603): Skipping database version check for import of database files from 'Version 16.0.0 Build 211 04/27/2016 SJ Pro Edition'. The imported database might be incompatible with current version of the software. Critical Warning (18603): Skipping database version check for import of database files from 'Version 16.0.0 Build 211 04/27/2016 SJ Pro Edition'. The imported database might be incompatible with current version of the software. Critical Warning (18603): Skipping database version check for import of database files from 'Version 16.0.0 Build 211 04/27/2016 SJ Pro Edition'. The imported database might be incompatible with current version of the software. Info (16677): Loading final database Info (16734): Loading "final" snapshot for partition "|". Info (16734): Loading "final" snapshot for partition "freeze_wrapper_inst|kernel_system_inst". Info (16678): Successfully loaded final database: elapsed time is 00:07:04 Info (18230): Checking the imported netlist for invalid settings in the current version of the software. Warning (12620): Input port OE of I/O output buffer "ucd_ctrlstatus_ucd_gpio21~output" is not connected, but the atom is driving a bi-directional pin Info (23030): Evaluation of Tcl script /cm/shared/package/altera_pro/16.0/quartus/common/tcl/internal/qatm_import_design.tcl was successful Info: Quartus Prime Compiler Database Interface was successful. 0 errors, 5 warnings Info: Peak virtual memory: 5115 megabytes Info: Processing ended: Mon May 1 12:10:41 2017 Info: Elapsed time: 00:08:03 Info: Total CPU time (on all processors): 00:08:03 Warning (125092): Tcl Script File board/board.qip not found Info (125063): set_global_assignment -name QIP_FILE board/board.qip Info: ******************************************************************* Info: Running Quartus Prime Fitter Info: Version 16.0.0 Build 211 04/27/2016 SJ Pro Edition Info: Copyright (C) 1991-2016 Altera Corporation. All rights reserved. Info: Your use of Altera Corporation's design tools, logic functions Info: and other software and tools, and its AMPP partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Altera Program License Info: Subscription Agreement, the Altera Quartus Prime License Agreement, Info: the Altera MegaCore Function License Agreement, or other Info: applicable license agreement, including, without limitation, Info: that your use is for the sole purpose of programming logic Info: devices manufactured by Altera and sold by Altera or its Info: authorized distributors. Please refer to the applicable Info: agreement for further details. Info: Processing started: Mon May 1 12:10:43 2017 Info: Command: quartus_fit top -c base Info: Using INI file /var/scratch/avdploeg/TrickleSimple/quartus.ini Info: qfit2_default_script.tcl version: #1 Info: Project = top Info: Revision = base Info (12262): Starting Fitter periphery placement operations Info (16677): Loading synthesized database Info (16734): Loading "final" snapshot for partition "|". Info (16734): Loading "final" snapshot for partition "freeze_wrapper_inst|kernel_system_inst". Info (16678): Successfully loaded synthesized database: elapsed time is 00:00:18 Info (16303): High Performance Effort optimization mode selected -- timing performance will be prioritized at the potential cost of increased compilation time Info (20032): Parallel compilation is enabled and will use up to 4 processors Info (119006): Selected device 10AX115N3F40E2SG for design "base" Info (21077): Core supply voltage is 0.95V Info (21077): Low junction temperature is 0 degrees C Info (21077): High junction temperature is 100 degrees C Warning (18550): Found RAM instances implemented as ROM because the write logic is disabled. One instance is listed below as an example. Info (119043): Atom "board_inst|ddr3b|ioaux_master_component|ioaux_soft_ram|the_altsyncram|auto_generated|ram_block1a0" is instantiated as RAM, but it is actually implemented as ROM function because the write logic is always disabled Warning (12620): Input port OE of I/O output buffer "ucd_ctrlstatus_ucd_gpio21~output" is not connected, but the atom is driving a bi-directional pin Info (171004): Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance Info (176045): Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements. Warning (176050): Can't implement Global Signal option for node "config_clk~input" that drives nodes that cannot change routing due to incremental compilation -- other nodes are not affected Info (12290): Loading the periphery placement data. Info (12291): Periphery placement data loaded: elapsed time is 00:00:41 Warning (12620): Input port OE of I/O output buffer "ucd_ctrlstatus_ucd_gpio21~output" is not connected, but the atom is driving a bi-directional pin Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details Warning (12789): Real-time CRC ERROR_CHECK_FREQUENCY_DIVISOR value (1) in design does not match value (2) in the Quartus Prime Settings File Warning (12620): Input port OE of I/O output buffer "ucd_ctrlstatus_ucd_gpio21~output" is not connected, but the atom is driving a bi-directional pin Info (176045): Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements. Warning (12620): Input port OE of I/O output buffer "ucd_ctrlstatus_ucd_gpio21~output" is not connected, but the atom is driving a bi-directional pin Info (16210): Plan updated with currently enabled project assignments. Info (12295): Periphery placement of all unplaced cells complete: elapsed time is 00:00:01 Warning (18576): The supply "VCCR_GXB" at left side of HSSI is limited to "1.03V", overriding some of the power assignments for the tiles. Only side-wide power settings are allowed. Warning (18576): The supply "VCCT_GXB" at left side of HSSI is limited to "1.03V", overriding some of the power assignments for the tiles. Only side-wide power settings are allowed. Critical Warning (17951): There are 40 unused RX channels in the device. If you intend to use any of these channels in the future, you must add the assignment 'set_instance_assignment -name PRESERVE_UNUSED_XCVR_CHANNEL ON -to ' in your QSF file. This assignment will preserve the performance of specified channels over time. Critical Warning (18655): There are 40 unused TX channels in the device. If you intend to use any of these channels in the future, you must add the assignment 'set_instance_assignment -name PRESERVE_UNUSED_XCVR_CHANNEL ON -to ' in your QSF file. This assignment will preserve the performance of such channels over time. Info (11178): Promoted 8 clocks (8 global) Info (13173): board_inst|ddr3a|arch|arch_inst|pll_inst|pll_c_counters[3]~CLKENA0 (178 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_3B_G_I16 Info (13173): board_inst|kernel_interface|reset_controller_sw|alt_rst_sync_uq1|altera_reset_synchronizer_int_chain_out~CLKENA0 (1774 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_1E_G_I0 Info (13173): board_inst|kernel_clk_gen|kernel_pll|altera_iopll_i|twentynm_pll|outclk[1]~CLKENA0 (5 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_2J_G_I4 Info (13173): board_inst|kernel_clk_gen|kernel_pll|altera_iopll_i|twentynm_pll|outclk[0]~CLKENA0 (56516 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_2J_G_I6 Info (13173): freeze_wrapper_inst|kernel_system_clock_reset_reset_reset_n~CLKENA0 (10338 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_2I_G_I11 Info (13173): board_inst|config_iopll|altera_iopll_i|twentynm_pll|outclk[0]~CLKENA0 (1779 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_2I_G_I5 Info (13173): board_inst|ddr3a|arch|arch_inst|non_hps.core_clks_rsts_inst|clk_gen_hmc.hr_qr.clk_gen_master.emif_usr_clk_buf (33514 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_3B_G_I21 Info (13173): board_inst|pcie|altpcie_a10_hip_pipen1b|wys~CORE_CLK_OUTCLKENA0 (36328 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_1D_G_I15 Warning (12620): Input port OE of I/O output buffer "ucd_ctrlstatus_ucd_gpio21~output" is not connected, but the atom is driving a bi-directional pin Info (176233): Starting register packing Info (176235): Finished register packing Extra Info (176219): No registers were packed into other blocks Warning (18576): The supply "VCCR_GXB" at left side of HSSI is limited to "1.03V", overriding some of the power assignments for the tiles. Only side-wide power settings are allowed. Warning (18576): The supply "VCCT_GXB" at left side of HSSI is limited to "1.03V", overriding some of the power assignments for the tiles. Only side-wide power settings are allowed. Info (12263): Fitter periphery placement operations ending: elapsed time is 00:03:21 Warning (18576): The supply "VCCR_GXB" at left side of HSSI is limited to "1.03V", overriding some of the power assignments for the tiles. Only side-wide power settings are allowed. Warning (18576): The supply "VCCT_GXB" at left side of HSSI is limited to "1.03V", overriding some of the power assignments for the tiles. Only side-wide power settings are allowed. Warning (335093): TimeQuest Timing Analyzer is analyzing 7 combinational loops as latches. For more details, run the Check Timing command in the TimeQuest Timing Analyzer or view the "User-Specified and Inferred Latches" table in the Analysis & Synthesis report. Info (332164): Evaluating HDL-embedded SDC commands Info (332165): Entity alt_xcvr_resync Info (332166): set regs [get_registers -nowarn *alt_xcvr_resync*sync_r[0]]; if {[llength [query_collection -report -all $regs]] > 0} {set_false_path -to $regs} Info (332165): Entity altera_std_synchronizer Info (332166): set_false_path -to [get_keepers {*altera_std_synchronizer:*|din_s1}] Info (332165): Entity altpcie_reset_delay_sync Info (332166): set_false_path -from [get_fanins -async *app_rstn_altpcie_reset_delay_sync_altpcie_a10_hip_hwtcl*rs_meta[*]] -to [get_keepers *app_rstn_altpcie_reset_delay_sync_altpcie_a10_hip_hwtcl*rs_meta[*]] Info (332166): set_false_path -from [get_fanins -async *por_sync_altpcie_reset_delay_sync*rs_meta[*]] -to [get_keepers *por_sync_altpcie_reset_delay_sync*rs_meta[*]] Info (332166): set_false_path -from [get_fanins -async *app_rstn_altpcie_reset_delay_sync_altpcie_a10_hip_hwtcl*rs_meta[*]] -to [get_keepers *app_rstn_altpcie_reset_delay_sync_altpcie_a10_hip_hwtcl*rs_meta[*]] Info (332166): set_false_path -from [get_fanins -async *por_sync_altpcie_reset_delay_sync*rs_meta[*]] -to [get_keepers *por_sync_altpcie_reset_delay_sync*rs_meta[*]] Info (332166): set_false_path -from [get_fanins -async *app_rstn_altpcie_reset_delay_sync_altpcie_a10_hip_hwtcl*rs_meta[*]] -to [get_keepers *app_rstn_altpcie_reset_delay_sync_altpcie_a10_hip_hwtcl*rs_meta[*]] Info (332166): set_false_path -from [get_fanins -async *por_sync_altpcie_reset_delay_sync*rs_meta[*]] -to [get_keepers *por_sync_altpcie_reset_delay_sync*rs_meta[*]] Info (332165): Entity altpcie_sc_bitsync Info (332166): set_multicycle_path -to [get_keepers *pld_clk_in_use_altpcie_sc_bitsync*altpcie_sc_bitsync_meta_dff[*]] 3 Info (332166): set_false_path -hold -to [get_keepers *pld_clk_in_use_altpcie_sc_bitsync*altpcie_sc_bitsync_meta_dff[*]] Info (332166): set_multicycle_path -to [get_keepers *reset_status_altpcie_sc_bitsync*altpcie_sc_bitsync_meta_dff[*]] 3 Info (332166): set_false_path -hold -to [get_keepers *reset_status_altpcie_sc_bitsync*altpcie_sc_bitsync_meta_dff[*]] Info (332165): Entity dcfifo_6ei1 Info (332166): set_false_path -from *rdptr_g* -to *ws_dgrp|dffpipe_3v8:dffpipe16|dffe17a* Info (332166): set_false_path -from *delayed_wrptr_g* -to *rs_dgwp|dffpipe_2v8:dffpipe13|dffe14a* Warning (332174): Ignored filter at qfit2_default_fitter_flow.tcl(308): *pld_clk_in_use_altpcie_sc_bitsync*altpcie_sc_bitsync_meta_dff[*] could not be matched with a keeper File: /cm/shared/package/altera_pro/16.0/quartus/common/tcl/internal/qfit2_default_fitter_flow.tcl Line: 308 Warning (332049): Ignored set_multicycle_path at qfit2_default_fitter_flow.tcl(308): Argument is an empty collection File: /cm/shared/package/altera_pro/16.0/quartus/common/tcl/internal/qfit2_default_fitter_flow.tcl Line: 308 Info (332050): eval "fit_place $create_fitter_netlist_args" File: /cm/shared/package/altera_pro/16.0/quartus/common/tcl/internal/qfit2_default_fitter_flow.tcl Line: 308 Warning (332049): Ignored set_false_path at qfit2_default_fitter_flow.tcl(308): Argument is an empty collection File: /cm/shared/package/altera_pro/16.0/quartus/common/tcl/internal/qfit2_default_fitter_flow.tcl Line: 308 Info (332050): eval "fit_place $create_fitter_netlist_args" File: /cm/shared/package/altera_pro/16.0/quartus/common/tcl/internal/qfit2_default_fitter_flow.tcl Line: 308 Info (332104): Reading SDC File: 'top.sdc' Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (332174): Ignored filter at top.sdc(25): ddr1_pll_ref_clk could not be matched with a port File: /var/scratch/avdploeg/TrickleSimple/top.sdc Line: 25 Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (332049): Ignored create_clock at top.sdc(25): Argument is an empty collection File: /var/scratch/avdploeg/TrickleSimple/top.sdc Line: 25 Info (332050): create_clock -period 266.66666666666667MHz [get_ports ddr1_pll_ref_clk] File: /var/scratch/avdploeg/TrickleSimple/top.sdc Line: 25 Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (332174): Ignored filter at top.sdc(29): altera_reserved_tck could not be matched with a port File: /var/scratch/avdploeg/TrickleSimple/top.sdc Line: 29 Warning (332049): Ignored create_clock at top.sdc(29): Argument is an empty collection File: /var/scratch/avdploeg/TrickleSimple/top.sdc Line: 29 Info (332050): create_clock -name {altera_reserved_tck} -period 50.000 -waveform { 0.000 25.000 } [get_ports {altera_reserved_tck}] File: /var/scratch/avdploeg/TrickleSimple/top.sdc Line: 29 Info (332104): Reading SDC File: 'kernel_system/altera_reset_controller_160/synth/altera_reset_controller.sdc' Info (332104): Reading SDC File: 'top_post.sdc' Info (332110): Deriving PLL clocks Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pld_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[0]|rx_clkout} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pcs_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[0]|rx_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|wys|pll_fixed_clk_central} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|wys~CORE_CLK_OUT} {board_inst|pcie|altpcie_a10_hip_pipen1b|wys|core_clk_out} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|wys~CORE_CLK_OUTCLKENA0|outclk} -duty_cycle 50.00 -name {board_inst|pcie|pld_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|wys|pld_clk} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pld_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[1]|rx_clkout} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pcs_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[1]|rx_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pld_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[2]|rx_clkout} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pcs_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[2]|rx_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pld_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[3]|rx_clkout} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pcs_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[3]|rx_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -duty_cycle 50.00 -name {board_inst|pcie|hip_cmn_clk[0]} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pld_pcs_interface.inst_twentynm_hssi_common_pld_pcs_interface|hip_cmn_clk[0]} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pld_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[4]|rx_clkout} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pcs_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[4]|rx_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pld_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[5]|rx_clkout} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pcs_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[5]|rx_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pld_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[6]|rx_clkout} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pcs_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[6]|rx_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pld_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[7]|rx_clkout} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pcs_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[7]|rx_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[0]|pma_hclk_by2} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|sta_pma_hclk_by2} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[1]} -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[0]|rx_fref} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_channel_pll.inst_twentynm_hssi_pma_channel_pll|fref} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[1]|pma_hclk_by2} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|sta_pma_hclk_by2} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[1]} -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[1]|rx_fref} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_channel_pll.inst_twentynm_hssi_pma_channel_pll|fref} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[2]|pma_hclk_by2} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|sta_pma_hclk_by2} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[0]} -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[2]|rx_fref} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_channel_pll.inst_twentynm_hssi_pma_channel_pll|fref} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[3]|pma_hclk_by2} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|sta_pma_hclk_by2} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[0]} -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[3]|rx_fref} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_channel_pll.inst_twentynm_hssi_pma_channel_pll|fref} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[4]|pma_hclk_by2} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|sta_pma_hclk_by2} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[0]} -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[4]|rx_fref} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_channel_pll.inst_twentynm_hssi_pma_channel_pll|fref} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[5]|pma_hclk_by2} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|sta_pma_hclk_by2} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[11]} -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[5]|rx_fref} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_channel_pll.inst_twentynm_hssi_pma_channel_pll|fref} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[6]|pma_hclk_by2} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|sta_pma_hclk_by2} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[11]} -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[6]|rx_fref} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_channel_pll.inst_twentynm_hssi_pma_channel_pll|fref} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[7]|pma_hclk_by2} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|sta_pma_hclk_by2} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[11]} -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[7]|rx_fref} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_channel_pll.inst_twentynm_hssi_pma_channel_pll|fref} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[1]} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[0]|rx_pma_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pcs_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[0]|tx_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_pll.fpll_g3|fpll_g3|fpll_refclk_select_inst|refclk} -multiply_by 25 -duty_cycle 50.00 -name {board_inst|pcie|tx_serial_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_pll.fpll_g3|fpll_g3|fpll_inst|clk0} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_pll.fpll_g3|fpll_g3|fpll_refclk_select_inst|refclk} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|pll_pcie_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_pll.fpll_g3|fpll_g3|fpll_inst|hclk_out} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_pll.g_pll_g3n.lcpll_g3xn|lcpll_g3xn|a10_xcvr_atx_pll_inst|twentynm_hssi_pma_lc_refclk_select_mux_inst|lvpecl_in} -multiply_by 40 -duty_cycle 50.00 -name {board_inst|pcie|twentynm_atx_pll_inst~O_CLK0_8G} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_pll.g_pll_g3n.lcpll_g3xn|lcpll_g3xn|a10_xcvr_atx_pll_inst|twentynm_atx_pll_inst|clk0_8g} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[1]} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[1]|rx_pma_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pcs_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[1]|tx_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[0]} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[2]|rx_pma_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pcs_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[2]|tx_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[0]} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[3]|rx_pma_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pld_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|tx_clkout} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pcs_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[3]|tx_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[0]} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[4]|rx_pma_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pcs_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[4]|tx_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[11]} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[5]|rx_pma_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pcs_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[5]|tx_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[11]} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[6]|rx_pma_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pcs_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[6]|tx_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[11]} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[7]|rx_pma_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pcs_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[7]|tx_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_pll.g_pll_g3n.lcpll_g3xn|lcpll_g3xn|a10_xcvr_atx_pll_inst|twentynm_hssi_pma_cgb_master_inst|clk_fpll_b} -divide_by 16 -duty_cycle 50.00 -name {board_inst|pcie|tx_bonding_clocks[0]} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_pll.g_pll_g3n.lcpll_g3xn|lcpll_g3xn|a10_xcvr_atx_pll_inst|twentynm_hssi_pma_cgb_master_inst|cpulse_out_bus[0]} Info (332110): create_generated_clock -source {board_inst|config_iopll|altera_iopll_i|twentynm_pll|iopll_inst|refclk[0]} -divide_by 24 -multiply_by 6 -duty_cycle 50.00 -name {board_inst|config_iopll|outclk0} {board_inst|config_iopll|altera_iopll_i|twentynm_pll|iopll_inst|outclk[0]} Info (332110): create_generated_clock -source {board_inst|kernel_clk_gen|kernel_pll|altera_iopll_i|twentynm_pll|iopll_inst|refclk[0]} -divide_by 4 -multiply_by 6 -duty_cycle 50.00 -name {board_inst|kernel_clk_gen|kernel_pll|outclk0} {board_inst|kernel_clk_gen|kernel_pll|altera_iopll_i|twentynm_pll|iopll_inst|outclk[0]} Info (332110): create_generated_clock -source {board_inst|kernel_clk_gen|kernel_pll|altera_iopll_i|twentynm_pll|iopll_inst|refclk[0]} -divide_by 2 -multiply_by 6 -duty_cycle 50.00 -name {board_inst|kernel_clk_gen|kernel_pll|outclk1} {board_inst|kernel_clk_gen|kernel_pll|altera_iopll_i|twentynm_pll|iopll_inst|outclk[1]} Info (332110): create_generated_clock -source {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst|pll_cascade_in} -divide_by 2 -multiply_by 4 -phase 22.50 -duty_cycle 50.00 -name {board_inst|ddr3a|arch|arch_inst|pll_inst|phy_clk[0]} {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst|loaden[0]} Info (332110): create_generated_clock -source {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst|pll_cascade_in} -divide_by 4 -multiply_by 4 -phase 11.25 -duty_cycle 50.00 -name {board_inst|ddr3a|arch|arch_inst|pll_inst|phy_clk[1]} {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst|lvds_clk[0]} Info (332110): create_generated_clock -source {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst|pll_cascade_in} -divide_by 7 -multiply_by 4 -duty_cycle 50.00 -name {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_c_counters[3]} {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst|outclk[3]} Info (332110): create_generated_clock -source {board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst|pll_cascade_in} -divide_by 2 -multiply_by 4 -phase 22.50 -duty_cycle 50.00 -name {board_inst|ddr3b|arch|arch_inst|pll_inst|phy_clk[0]} {board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst|loaden[0]} Info (332110): create_generated_clock -source {board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst|pll_cascade_in} -divide_by 4 -multiply_by 4 -phase 11.25 -duty_cycle 50.00 -name {board_inst|ddr3b|arch|arch_inst|pll_inst|phy_clk[1]} {board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst|lvds_clk[0]} Info (332110): create_generated_clock -source {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst~_Duplicate|pll_cascade_in} -divide_by 2 -multiply_by 4 -phase 22.50 -duty_cycle 50.00 -name {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst~_DuplicateLOADEN0} {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst~_Duplicate|loaden[0]} Info (332110): create_generated_clock -source {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst~_Duplicate|pll_cascade_in} -divide_by 4 -multiply_by 4 -phase 11.25 -duty_cycle 50.00 -name {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst~_DuplicateLVDS_CLK0} {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst~_Duplicate|lvds_clk[0]} Info (332110): create_generated_clock -source {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1|pll_cascade_in} -divide_by 2 -multiply_by 4 -phase 22.50 -duty_cycle 50.00 -name {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1LOADEN0} {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1|loaden[0]} Info (332110): create_generated_clock -source {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1|pll_cascade_in} -divide_by 4 -multiply_by 4 -phase 11.25 -duty_cycle 50.00 -name {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1LVDS_CLK0} {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1|lvds_clk[0]} Info (332110): create_generated_clock -source {board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst~_Duplicate|pll_cascade_in} -divide_by 2 -multiply_by 4 -phase 22.50 -duty_cycle 50.00 -name {board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst~_DuplicateLOADEN0} {board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst~_Duplicate|loaden[0]} Info (332110): create_generated_clock -source {board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst~_Duplicate|pll_cascade_in} -divide_by 4 -multiply_by 4 -phase 11.25 -duty_cycle 50.00 -name {board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst~_DuplicateLVDS_CLK0} {board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst~_Duplicate|lvds_clk[0]} Info (332110): create_generated_clock -source {board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1|pll_cascade_in} -divide_by 2 -multiply_by 4 -phase 22.50 -duty_cycle 50.00 -name {board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1LOADEN0} {board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1|loaden[0]} Info (332110): create_generated_clock -source {board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1|pll_cascade_in} -divide_by 4 -multiply_by 4 -phase 11.25 -duty_cycle 50.00 -name {board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1LVDS_CLK0} {board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1|lvds_clk[0]} Info (332151): Clock uncertainty is not calculated until you update the timing netlist. Warning (332174): Ignored filter at top_post.sdc(46): \ could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 46 Warning (332174): Ignored filter at top_post.sdc(46): acl_hmcc_wrapper_inst|* could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 46 Warning (332174): Ignored filter at top_post.sdc(50): ddr0_mem_dqs[0]_IN could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 50 Warning (332174): Ignored filter at top_post.sdc(50): ddr0_mem_dqs[1]_IN could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 50 Warning (332174): Ignored filter at top_post.sdc(50): ddr0_mem_dqs[2]_IN could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 50 Warning (332174): Ignored filter at top_post.sdc(50): ddr0_mem_dqs[3]_IN could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 50 Warning (332174): Ignored filter at top_post.sdc(50): ddr0_mem_dqs[4]_IN could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 50 Warning (332174): Ignored filter at top_post.sdc(50): ddr0_mem_dqs[5]_IN could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 50 Warning (332174): Ignored filter at top_post.sdc(50): ddr0_mem_dqs[6]_IN could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 50 Warning (332174): Ignored filter at top_post.sdc(50): ddr0_mem_dqs[7]_IN could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 50 Warning (332174): Ignored filter at top_post.sdc(60): ddr1_mem_dqs[0]_IN could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 60 Warning (332174): Ignored filter at top_post.sdc(60): ddr1_mem_dqs[1]_IN could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 60 Warning (332174): Ignored filter at top_post.sdc(60): ddr1_mem_dqs[2]_IN could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 60 Warning (332174): Ignored filter at top_post.sdc(60): ddr1_mem_dqs[3]_IN could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 60 Warning (332174): Ignored filter at top_post.sdc(60): ddr1_mem_dqs[4]_IN could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 60 Warning (332174): Ignored filter at top_post.sdc(60): ddr1_mem_dqs[5]_IN could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 60 Warning (332174): Ignored filter at top_post.sdc(60): ddr1_mem_dqs[6]_IN could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 60 Warning (332174): Ignored filter at top_post.sdc(60): ddr1_mem_dqs[7]_IN could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 60 Warning (332174): Ignored filter at top_post.sdc(28): a10_internal_oscillator_clock0 could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 28 Warning (332174): Ignored filter at top_post.sdc(28): altera_ts_clk could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 28 Warning (332174): Ignored filter at top_post.sdc(28): ddr1_pll_ref_clk could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 28 Warning (332174): Ignored filter at top_post.sdc(28): altera_reserved_tck could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 28 Warning (332054): Assignment set_clock_groups is accepted but has some problems at top_post.sdc(28): Argument -group with value a10_internal_oscillator_clock0 could not match any element of the following types: ( clk ) File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 28 Info (332050): set_clock_groups -asynchronous \ -group { \ config_clk \ } -group { \ a10_internal_oscillator_clock0 \ } -group { \ altera_ts_clk \ } -group { \ ddr0_pll_ref_clk \ } -group { \ ddr1_pll_ref_clk \ } -group { \ kernel_pll_refclk \ } -group [get_clocks { pcie_refclk \ board_inst|pcie|* \ }] -group [get_clocks { \ board_inst|kernel_clk_gen|kernel_pll|* \ }] -group [get_clocks { \ acl_hmcc_wrapper_inst|* \ }] -group { \ altera_reserved_tck \ } -group [get_clocks { \ ddr0_mem_dqs[0]_IN \ ddr0_mem_dqs[1]_IN \ ddr0_mem_dqs[2]_IN \ ddr0_mem_dqs[3]_IN \ ddr0_mem_dqs[4]_IN \ ddr0_mem_dqs[5]_IN \ ddr0_mem_dqs[6]_IN \ ddr0_mem_dqs[7]_IN \ board_inst|ddr3a* \ }] -group [get_clocks { \ ddr1_mem_dqs[0]_IN \ ddr1_mem_dqs[1]_IN \ ddr1_mem_dqs[2]_IN \ ddr1_mem_dqs[3]_IN \ ddr1_mem_dqs[4]_IN \ ddr1_mem_dqs[5]_IN \ ddr1_mem_dqs[6]_IN \ ddr1_mem_dqs[7]_IN \ board_inst|ddr3b* \ }] File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 28 Warning (332054): Assignment set_clock_groups is accepted but has some problems at top_post.sdc(28): Argument -group with value altera_ts_clk could not match any element of the following types: ( clk ) File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 28 Warning (332054): Assignment set_clock_groups is accepted but has some problems at top_post.sdc(28): Argument -group with value ddr1_pll_ref_clk could not match any element of the following types: ( clk ) File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 28 Warning (332054): Assignment set_clock_groups is accepted but has some problems at top_post.sdc(28): Argument -group with value [get_clocks { acl_hmcc_wrapper_inst|* \ }] contains zero elements File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 28 Warning (332054): Assignment set_clock_groups is accepted but has some problems at top_post.sdc(28): Argument -group with value altera_reserved_tck could not match any element of the following types: ( clk ) File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 28 Critical Warning: Compiling with slowed OpenCL Kernel clock. This is to help achieve timing closure for board bringup. Warning (332060): Node: ddr0_mem_dqs[0] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|ddr3a|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[0].lane_gen[0].lane_inst~low_dff_a is being clocked by ddr0_mem_dqs[0] Warning (332060): Node: ddr0_mem_dqs[1] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|ddr3a|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[0].lane_gen[1].lane_inst~low_dff_a is being clocked by ddr0_mem_dqs[1] Warning (332060): Node: ddr0_mem_dqs[2] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|ddr3a|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[0].lane_gen[2].lane_inst~low_dff_a is being clocked by ddr0_mem_dqs[2] Warning (332060): Node: ddr0_mem_dqs[3] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|ddr3a|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[0].lane_gen[3].lane_inst~low_dff_a is being clocked by ddr0_mem_dqs[3] Warning (332060): Node: ddr0_mem_dqs[4] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|ddr3a|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[1].lane_gen[3].lane_inst~low_dff_a is being clocked by ddr0_mem_dqs[4] Warning (332060): Node: ddr0_mem_dqs[5] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|ddr3a|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[2].lane_gen[0].lane_inst~low_dff_a is being clocked by ddr0_mem_dqs[5] Warning (332060): Node: ddr0_mem_dqs[6] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|ddr3a|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[2].lane_gen[1].lane_inst~low_dff_a is being clocked by ddr0_mem_dqs[6] Warning (332060): Node: ddr0_mem_dqs[7] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|ddr3a|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[2].lane_gen[2].lane_inst~low_dff_a is being clocked by ddr0_mem_dqs[7] Warning (332060): Node: ddr1_mem_dqs[0] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|ddr3b|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[0].lane_gen[0].lane_inst~low_dff_a is being clocked by ddr1_mem_dqs[0] Warning (332060): Node: ddr1_mem_dqs[1] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|ddr3b|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[0].lane_gen[1].lane_inst~low_dff_a is being clocked by ddr1_mem_dqs[1] Warning (332060): Node: ddr1_mem_dqs[2] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|ddr3b|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[0].lane_gen[2].lane_inst~low_dff_a is being clocked by ddr1_mem_dqs[2] Warning (332060): Node: ddr1_mem_dqs[3] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|ddr3b|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[0].lane_gen[3].lane_inst~low_dff_a is being clocked by ddr1_mem_dqs[3] Warning (332060): Node: ddr1_mem_dqs[4] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|ddr3b|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[1].lane_gen[3].lane_inst~low_dff_a is being clocked by ddr1_mem_dqs[4] Warning (332060): Node: ddr1_mem_dqs[5] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|ddr3b|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[2].lane_gen[0].lane_inst~low_dff_a is being clocked by ddr1_mem_dqs[5] Warning (332060): Node: ddr1_mem_dqs[6] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|ddr3b|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[2].lane_gen[1].lane_inst~low_dff_a is being clocked by ddr1_mem_dqs[6] Warning (332060): Node: ddr1_mem_dqs[7] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|ddr3b|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[2].lane_gen[2].lane_inst~low_dff_a is being clocked by ddr1_mem_dqs[7] Warning (332060): Node: board_inst|flash_remote_update|remote_update_core|state[1] was determined to be a clock but was found without an associated clock assignment. Info (13166): Latch board_inst|flash_remote_update|remote_update_core|ru_block_ctl[0] is being clocked by board_inst|flash_remote_update|remote_update_core|state[1] Warning (332158): Clock uncertainty characteristics of the Arria 10 device family are preliminary Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. Warning (332088): No paths exist between clock target "board_inst|pcie|altpcie_a10_hip_pipen1b|wys|core_clk_out" of clock "board_inst|pcie|wys~CORE_CLK_OUT" and its clock source. Assuming zero source clock latency. Info (332129): Detected timing requirements -- optimizing circuit to achieve only the specified requirements Info (332111): Found 76 clocks Info (332111): Period Clock Name Info (332111): ======== ============ Info (332111): 40.000 board_inst|config_iopll|outclk0 Info (332111): 1.875 board_inst|ddr3a|arch|arch_inst|pll_inst|phy_clk[0] Info (332111): 3.750 board_inst|ddr3a|arch|arch_inst|pll_inst|phy_clk[1] Info (332111): 6.562 board_inst|ddr3a|arch|arch_inst|pll_inst|pll_c_counters[3] Info (332111): 1.875 board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1LOADEN0 Info (332111): 3.750 board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1LVDS_CLK0 Info (332111): 1.875 board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst~_DuplicateLOADEN0 Info (332111): 3.750 board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst~_DuplicateLVDS_CLK0 Info (332111): 1.875 board_inst|ddr3b|arch|arch_inst|pll_inst|phy_clk[0] Info (332111): 3.750 board_inst|ddr3b|arch|arch_inst|pll_inst|phy_clk[1] Info (332111): 1.875 board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1LOADEN0 Info (332111): 3.750 board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1LVDS_CLK0 Info (332111): 1.875 board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst~_DuplicateLOADEN0 Info (332111): 3.750 board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst~_DuplicateLVDS_CLK0 Info (332111): 2.500 board_inst|kernel_clk_gen|kernel_pll|outclk0 Info (332111): 1.250 board_inst|kernel_clk_gen|kernel_pll|outclk1 Info (332111): 4.000 board_inst|pcie|g_xcvr_native_insts[0]|pma_hclk_by2 Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[0]|rx_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[0]|rx_clkout Info (332111): 10.000 board_inst|pcie|g_xcvr_native_insts[0]|rx_fref Info (332111): 2.000 board_inst|pcie|g_xcvr_native_insts[0]|rx_pma_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[0]|tx_clk Info (332111): 4.000 board_inst|pcie|g_xcvr_native_insts[1]|pma_hclk_by2 Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[1]|rx_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[1]|rx_clkout Info (332111): 10.000 board_inst|pcie|g_xcvr_native_insts[1]|rx_fref Info (332111): 2.000 board_inst|pcie|g_xcvr_native_insts[1]|rx_pma_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[1]|tx_clk Info (332111): 4.000 board_inst|pcie|g_xcvr_native_insts[2]|pma_hclk_by2 Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[2]|rx_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[2]|rx_clkout Info (332111): 10.000 board_inst|pcie|g_xcvr_native_insts[2]|rx_fref Info (332111): 2.000 board_inst|pcie|g_xcvr_native_insts[2]|rx_pma_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[2]|tx_clk Info (332111): 4.000 board_inst|pcie|g_xcvr_native_insts[3]|pma_hclk_by2 Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[3]|rx_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[3]|rx_clkout Info (332111): 10.000 board_inst|pcie|g_xcvr_native_insts[3]|rx_fref Info (332111): 2.000 board_inst|pcie|g_xcvr_native_insts[3]|rx_pma_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[3]|tx_clk Info (332111): 4.000 board_inst|pcie|g_xcvr_native_insts[4]|pma_hclk_by2 Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[4]|rx_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[4]|rx_clkout Info (332111): 10.000 board_inst|pcie|g_xcvr_native_insts[4]|rx_fref Info (332111): 2.000 board_inst|pcie|g_xcvr_native_insts[4]|rx_pma_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[4]|tx_clk Info (332111): 4.000 board_inst|pcie|g_xcvr_native_insts[5]|pma_hclk_by2 Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[5]|rx_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[5]|rx_clkout Info (332111): 10.000 board_inst|pcie|g_xcvr_native_insts[5]|rx_fref Info (332111): 2.000 board_inst|pcie|g_xcvr_native_insts[5]|rx_pma_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[5]|tx_clk Info (332111): 4.000 board_inst|pcie|g_xcvr_native_insts[6]|pma_hclk_by2 Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[6]|rx_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[6]|rx_clkout Info (332111): 10.000 board_inst|pcie|g_xcvr_native_insts[6]|rx_fref Info (332111): 2.000 board_inst|pcie|g_xcvr_native_insts[6]|rx_pma_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[6]|tx_clk Info (332111): 4.000 board_inst|pcie|g_xcvr_native_insts[7]|pma_hclk_by2 Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[7]|rx_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[7]|rx_clkout Info (332111): 10.000 board_inst|pcie|g_xcvr_native_insts[7]|rx_fref Info (332111): 2.000 board_inst|pcie|g_xcvr_native_insts[7]|rx_pma_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[7]|tx_clk Info (332111): 2.000 board_inst|pcie|hip_cmn_clk[0] Info (332111): 4.000 board_inst|pcie|pld_clk Info (332111): 2.000 board_inst|pcie|pll_pcie_clk Info (332111): 0.250 board_inst|pcie|twentynm_atx_pll_inst~O_CLK0_8G Info (332111): 6.400 board_inst|pcie|tx_bonding_clocks[0] Info (332111): 25.600 board_inst|pcie|tx_clkout Info (332111): 0.400 board_inst|pcie|tx_serial_clk Info (332111): 4.000 board_inst|pcie|wys~CORE_CLK_OUT Info (332111): 10.000 config_clk Info (332111): 3.750 ddr0_pll_ref_clk Info (332111): 3.750 kernel_pll_refclk Info (332111): 10.000 pcie_refclk Warning (12620): Input port OE of I/O output buffer "ucd_ctrlstatus_ucd_gpio21~output" is not connected, but the atom is driving a bi-directional pin Warning (15705): Ignored locations or region assignments to the following nodes Warning (15706): Node "ddr1_pll_ref_clk" is assigned to location or region, but does not exist in design Info (11165): Fitter preparation operations ending: elapsed time is 00:05:08 Info (18252): The Fitter is using Spectra-Q Physical Synthesis. Info (170189): Fitter placement preparation operations beginning Warning (335093): TimeQuest Timing Analyzer is analyzing 7 combinational loops as latches. For more details, run the Check Timing command in the TimeQuest Timing Analyzer or view the "User-Specified and Inferred Latches" table in the Analysis & Synthesis report. Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Critical Warning: Compiling with slowed OpenCL Kernel clock. This is to help achieve timing closure for board bringup. Info (14951): The Fitter is using Advanced Physical Optimization. Info (170190): Fitter placement preparation operations ending: elapsed time is 00:02:36 Info (11888): Total time spent on timing analysis during Global Placement is 98.28 seconds. Info (18258): Fitter Spectra-Q Physical Synthesis operations beginning Info (18259): Fitter Spectra-Q Physical Synthesis operations ending: elapsed time is 00:00:13 Info (11888): Total time spent on timing analysis during Physical Synthesis is 0.00 seconds. Info (170189): Fitter placement preparation operations beginning Warning (335093): TimeQuest Timing Analyzer is analyzing 7 combinational loops as latches. For more details, run the Check Timing command in the TimeQuest Timing Analyzer or view the "User-Specified and Inferred Latches" table in the Analysis & Synthesis report. Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Critical Warning: Compiling with slowed OpenCL Kernel clock. This is to help achieve timing closure for board bringup. Info (14951): The Fitter is using Advanced Physical Optimization. Info (170190): Fitter placement preparation operations ending: elapsed time is 00:01:33 Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful Info (170192): Fitter placement operations ending: elapsed time is 00:00:27 Info (11888): Total time spent on timing analysis during Global Placement is 44.98 seconds. Info (18258): Fitter Spectra-Q Physical Synthesis operations beginning Info (18259): Fitter Spectra-Q Physical Synthesis operations ending: elapsed time is 00:00:24 Info (11888): Total time spent on timing analysis during Physical Synthesis is 0.00 seconds. Info (170189): Fitter placement preparation operations beginning Warning (335093): TimeQuest Timing Analyzer is analyzing 7 combinational loops as latches. For more details, run the Check Timing command in the TimeQuest Timing Analyzer or view the "User-Specified and Inferred Latches" table in the Analysis & Synthesis report. Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Critical Warning: Compiling with slowed OpenCL Kernel clock. This is to help achieve timing closure for board bringup. Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 Warning (335093): TimeQuest Timing Analyzer is analyzing 7 combinational loops as latches. For more details, run the Check Timing command in the TimeQuest Timing Analyzer or view the "User-Specified and Inferred Latches" table in the Analysis & Synthesis report. Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Critical Warning: Compiling with slowed OpenCL Kernel clock. This is to help achieve timing closure for board bringup. Info (11888): Total time spent on timing analysis during Placement is 148.68 seconds. Info (170193): Fitter routing operations beginning Info (170239): Router is attempting to preserve 100.00 percent of routes from an earlier compilation, a user specified Routing Constraints File, or internal routing requirements. Info (170195): Router estimated average interconnect usage is 9% of the available device resources Info (170196): Router estimated peak interconnect usage is 53% of the available device resources in the region that extends from location X47_Y59 to location X58_Y70 Info (18289): Started post-fitting delay annotation Warning (335093): TimeQuest Timing Analyzer is analyzing 7 combinational loops as latches. For more details, run the Check Timing command in the TimeQuest Timing Analyzer or view the "User-Specified and Inferred Latches" table in the Analysis & Synthesis report. Info (18290): Delay annotation completed successfully Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Critical Warning: Compiling with slowed OpenCL Kernel clock. This is to help achieve timing closure for board bringup. Info (18289): Started post-fitting delay annotation Warning (335093): TimeQuest Timing Analyzer is analyzing 7 combinational loops as latches. For more details, run the Check Timing command in the TimeQuest Timing Analyzer or view the "User-Specified and Inferred Latches" table in the Analysis & Synthesis report. Info (18290): Delay annotation completed successfully Info (18289): Started post-fitting delay annotation Info (18290): Delay annotation completed successfully Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Critical Warning: Compiling with slowed OpenCL Kernel clock. This is to help achieve timing closure for board bringup. Info (11888): Total time spent on timing analysis during Routing is 13.58 seconds. Info (16607): Fitter routing operations ending: elapsed time is 00:07:15 Info (18289): Started post-fitting delay annotation Info (18290): Delay annotation completed successfully Info (18289): Started post-fitting delay annotation Info (18290): Delay annotation completed successfully Info (18289): Started post-fitting delay annotation Info (18290): Delay annotation completed successfully Info (11888): Total time spent on timing analysis during Post-Routing is 13.91 seconds. Info (16557): Fitter post-fit operations ending: elapsed time is 00:09:34 Warning (12620): Input port OE of I/O output buffer "ucd_ctrlstatus_ucd_gpio21~output" is not connected, but the atom is driving a bi-directional pin Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information. Info (144001): Generated suppressed messages file /var/scratch/avdploeg/TrickleSimple/base.fit.smsg Info: Quartus Prime Fitter was successful. 0 errors, 132 warnings Info: Peak virtual memory: 16542 megabytes Info: Processing ended: Mon May 1 12:46:47 2017 Info: Elapsed time: 00:36:04 Info: Total CPU time (on all processors): 01:35:37 Info: ******************************************************************* Info: Running Quartus Prime Assembler Info: Version 16.0.0 Build 211 04/27/2016 SJ Pro Edition Info: Copyright (C) 1991-2016 Altera Corporation. All rights reserved. Info: Your use of Altera Corporation's design tools, logic functions Info: and other software and tools, and its AMPP partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Altera Program License Info: Subscription Agreement, the Altera Quartus Prime License Agreement, Info: the Altera MegaCore Function License Agreement, or other Info: applicable license agreement, including, without limitation, Info: that your use is for the sole purpose of programming logic Info: devices manufactured by Altera and sold by Altera or its Info: authorized distributors. Please refer to the applicable Info: agreement for further details. Info: Processing started: Mon May 1 12:46:52 2017 Info: Command: quartus_asm top -c base Info: Using INI file /var/scratch/avdploeg/TrickleSimple/quartus.ini Warning (125092): Tcl Script File board/board.qip not found Info (125063): set_global_assignment -name QIP_FILE board/board.qip Info (16677): Loading final database Info (16734): Loading "final" snapshot for partition "|". Info (16734): Loading "final" snapshot for partition "freeze_wrapper_inst|kernel_system_inst". Info (16678): Successfully loaded final database: elapsed time is 00:00:21 Info (17908): The CRC error detection clock divisor has been changed to 2, because the previous divisor 1 is not supported by the current device. Info (17908): The CRC error detection clock divisor has been changed to 2, because the previous divisor 1 is not supported by the current device. Info (17908): The CRC error detection clock divisor has been changed to 2, because the previous divisor 1 is not supported by the current device. Info: Quartus Prime Assembler was successful. 0 errors, 1 warning Info: Peak virtual memory: 10503 megabytes Info: Processing ended: Mon May 1 12:49:07 2017 Info: Elapsed time: 00:02:15 Info: Total CPU time (on all processors): 00:02:15 Info: ******************************************************************* Info: Running Quartus Prime Compiler Database Interface Info: Version 16.0.0 Build 211 04/27/2016 SJ Pro Edition Info: Copyright (C) 1991-2016 Altera Corporation. All rights reserved. Info: Your use of Altera Corporation's design tools, logic functions Info: and other software and tools, and its AMPP partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Altera Program License Info: Subscription Agreement, the Altera Quartus Prime License Agreement, Info: the Altera MegaCore Function License Agreement, or other Info: applicable license agreement, including, without limitation, Info: that your use is for the sole purpose of programming logic Info: devices manufactured by Altera and sold by Altera or its Info: authorized distributors. Please refer to the applicable Info: agreement for further details. Info: Processing started: Mon May 1 12:49:09 2017 Info: Command: quartus_cdb top -c base --export_pr_static_block root_partition --snapshot final --file root_partition.qdb Info: Quartus(args): --exclude_pr_subblocks --project top -c base --block_name root_partition --snapshot final --file root_partition.qdb Info: Using INI file /var/scratch/avdploeg/TrickleSimple/quartus.ini Warning (125092): Tcl Script File board/board.qip not found Info (125063): set_global_assignment -name QIP_FILE board/board.qip Info: Running design::export_block root_partition -snapshot final -file root_partition.qdb -exclude_pr_subblocks Info (16677): Loading final database Info (16734): Loading "final" snapshot for partition "|". Info (16734): Loading "final" snapshot for partition "freeze_wrapper_inst|kernel_system_inst". Info (16678): Successfully loaded final database: elapsed time is 00:00:20 Info (13213): Using common directory /var/scratch/avdploeg/TrickleSimple/.tmp.base/ Info (23030): Evaluation of Tcl script /cm/shared/package/altera_pro/16.0/quartus/common/tcl/internal/qatm_export_block.tcl was successful Info: Quartus Prime Compiler Database Interface was successful. 0 errors, 1 warning Info: Peak virtual memory: 3190 megabytes Info: Processing ended: Mon May 1 12:49:41 2017 Info: Elapsed time: 00:00:32 Info: Total CPU time (on all processors): 00:00:32 Info (125061): Changed top-level design entity name to "top" Info (125061): Changed top-level design entity name to "kernel_system" Warning (125092): Tcl Script File board/board.qip not found Info (125063): set_global_assignment -name QIP_FILE board/board.qip Info: ******************************************************************* Info: Running Quartus Prime Spectra-Q Synthesis Info: Version 16.0.0 Build 211 04/27/2016 SJ Pro Edition Info: Copyright (C) 1991-2016 Altera Corporation. All rights reserved. Info: Your use of Altera Corporation's design tools, logic functions Info: and other software and tools, and its AMPP partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Altera Program License Info: Subscription Agreement, the Altera Quartus Prime License Agreement, Info: the Altera MegaCore Function License Agreement, or other Info: applicable license agreement, including, without limitation, Info: that your use is for the sole purpose of programming logic Info: devices manufactured by Altera and sold by Altera or its Info: authorized distributors. Please refer to the applicable Info: agreement for further details. Info: Processing started: Mon May 1 12:49:42 2017 Info: Command: quartus_syn top -c top_synth Info: Using INI file /var/scratch/avdploeg/TrickleSimple/quartus.ini Info: qis_default_flow_script.tcl version: #1 Info: Initializing Spectra-Q Synthesis... Info: Project = "top" Info: Revision = "top_synth" Info: Analyzing source files Info (16303): High Performance Effort optimization mode selected -- timing performance will be prioritized at the potential cost of increased compilation time Warning (16124): Can't analyze file board/board.qip - no such file exists Info: Elaborating from top-level entity "kernel_system" Info (18235): Library search order is as follows: "kernel_system; altera_avalon_mm_bridge_160; cra_ring_root_10; acl_rom_module_10; altera_reset_controller_160; altera_avalon_st_adapter_160; channel_adapter_160; altera_irq_mapper_160; cra_ring_node_10; altera_mm_interconnect_160; altera_merlin_slave_translator_160; altera_merlin_master_translator_160; cra_ring_rom_10; TrickleSimple_system_140; altera_irq_bridge_160". Quartus will look for undefined design units in your libraries in that order. To modify the ordering, please specify a semi-colon separated library list using the assignment LIBRARY_SEARCH_ORDER. Warning (13469): Verilog HDL assignment warning at acl_profiler.v(149): truncated value with size 128 to match size of target (64) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/acl_profiler.v Line: 149 Warning (13469): Verilog HDL assignment warning at acl_profiler.v(154): truncated value with size 64 to match size of target (32) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/acl_profiler.v Line: 154 Warning (13469): Verilog HDL assignment warning at acl_stall_free_sink.v(88): truncated value with size 2 to match size of target (1) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/acl_stall_free_sink.v Line: 88 Warning (13469): Verilog HDL assignment warning at acl_stall_free_sink.v(91): truncated value with size 2 to match size of target (1) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/acl_stall_free_sink.v Line: 91 Warning (13469): Verilog HDL assignment warning at acl_stall_free_sink.v(88): truncated value with size 2 to match size of target (1) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/acl_stall_free_sink.v Line: 88 Warning (13469): Verilog HDL assignment warning at acl_stall_free_sink.v(91): truncated value with size 2 to match size of target (1) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/acl_stall_free_sink.v Line: 91 Warning (13469): Verilog HDL assignment warning at lsu_bursting_load_stores.v(232): truncated value with size 32 to match size of target (4) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_bursting_load_stores.v Line: 232 Warning (13469): Verilog HDL assignment warning at lsu_bursting_load_stores.v(233): truncated value with size 5 to match size of target (4) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_bursting_load_stores.v Line: 233 Warning (13469): Verilog HDL assignment warning at lsu_bursting_load_stores.v(1006): truncated value with size 5 to match size of target (4) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_bursting_load_stores.v Line: 1006 Warning (13469): Verilog HDL assignment warning at lsu_bursting_load_stores.v(1009): truncated value with size 8 to match size of target (7) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_bursting_load_stores.v Line: 1009 Warning (13469): Verilog HDL assignment warning at lsu_bursting_load_stores.v(1012): truncated value with size 5 to match size of target (4) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_bursting_load_stores.v Line: 1012 Warning (13469): Verilog HDL assignment warning at lsu_bursting_load_stores.v(1019): truncated value with size 5 to match size of target (4) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_bursting_load_stores.v Line: 1019 Warning (13469): Verilog HDL assignment warning at lsu_bursting_load_stores.v(796): truncated value with size 18 to match size of target (12) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_bursting_load_stores.v Line: 796 Warning (13469): Verilog HDL assignment warning at lsu_bursting_load_stores.v(824): truncated value with size 1984 to match size of target (1024) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_bursting_load_stores.v Line: 824 Warning (16788): Net "R_cache_addr[9]" does not have a driver at lsu_bursting_load_stores.v(126) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_bursting_load_stores.v Line: 126 Warning (13469): Verilog HDL assignment warning at lsu_top.v(1363): truncated value with size 32 to match size of target (4) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_top.v Line: 1363 Warning (13469): Verilog HDL assignment warning at lsu_top.v(1366): truncated value with size 32 to match size of target (4) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_top.v Line: 1366 Warning (16788): Net "avm_writeack_wrapped" does not have a driver at lsu_wide_wrapper.v(173) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_wide_wrapper.v Line: 173 Warning (13469): Verilog HDL assignment warning at lsu_top.v(336): truncated value with size 32 to match size of target (5) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_top.v Line: 336 Warning (13469): Verilog HDL assignment warning at lsu_top.v(339): truncated value with size 32 to match size of target (5) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_top.v Line: 339 Warning (16735): Verilog HDL warning at TrickleSimple.v(6316): actual bit length 64 differs from formal bit length 33 for port "i_address" File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/TrickleSimple.v Line: 6316 Warning (16735): Verilog HDL warning at TrickleSimple.v(6320): actual bit length 64 differs from formal bit length 33 for port "i_bitwiseor" File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/TrickleSimple.v Line: 6320 Warning (16735): Verilog HDL warning at TrickleSimple.v(6434): actual bit length 64 differs from formal bit length 33 for port "i_address" File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/TrickleSimple.v Line: 6434 Warning (16735): Verilog HDL warning at TrickleSimple.v(6438): actual bit length 64 differs from formal bit length 33 for port "i_bitwiseor" File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/TrickleSimple.v Line: 6438 Warning (13469): Verilog HDL assignment warning at acl_fp_accum_a10.v(57): truncated value with size 5 to match size of target (4) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/acl_fp_accum_a10.v Line: 57 Warning (13469): Verilog HDL assignment warning at acl_stall_free_sink.v(88): truncated value with size 2 to match size of target (1) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/acl_stall_free_sink.v Line: 88 Warning (13469): Verilog HDL assignment warning at acl_stall_free_sink.v(91): truncated value with size 2 to match size of target (1) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/acl_stall_free_sink.v Line: 91 Warning (13469): Verilog HDL assignment warning at lsu_bursting_load_stores.v(1744): truncated value with size 24 to match size of target (23) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_bursting_load_stores.v Line: 1744 Warning (13469): Verilog HDL assignment warning at lsu_bursting_load_stores.v(1746): truncated value with size 2 to match size of target (1) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_bursting_load_stores.v Line: 1746 Warning (13469): Verilog HDL assignment warning at lsu_bursting_load_stores.v(1749): truncated value with size 3 to match size of target (2) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_bursting_load_stores.v Line: 1749 Warning (13469): Verilog HDL assignment warning at lsu_bursting_load_stores.v(1754): truncated value with size 6 to match size of target (5) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_bursting_load_stores.v Line: 1754 Warning (16735): Verilog HDL warning at lsu_bursting_load_stores.v(1497): actual bit length 9216 differs from formal bit length 9224 for port "data_in" File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_bursting_load_stores.v Line: 1497 Warning (16735): Verilog HDL warning at lsu_bursting_load_stores.v(1499): actual bit length 9216 differs from formal bit length 9224 for port "data_out" File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_bursting_load_stores.v Line: 1499 Warning (13469): Verilog HDL assignment warning at lsu_bursting_load_stores.v(1594): truncated value with size 10 to match size of target (8) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_bursting_load_stores.v Line: 1594 Warning (13469): Verilog HDL assignment warning at lsu_bursting_load_stores.v(1608): truncated value with size 2 to match size of target (1) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_bursting_load_stores.v Line: 1608 Warning (13469): Verilog HDL assignment warning at lsu_top.v(1363): truncated value with size 32 to match size of target (1) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_top.v Line: 1363 Warning (13469): Verilog HDL assignment warning at lsu_top.v(1366): truncated value with size 32 to match size of target (1) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_top.v Line: 1366 Warning (13469): Verilog HDL assignment warning at lsu_wide_wrapper.v(340): truncated value with size 5 to match size of target (4) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_wide_wrapper.v Line: 340 Warning (13469): Verilog HDL assignment warning at lsu_wide_wrapper.v(354): truncated value with size 5 to match size of target (4) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_wide_wrapper.v Line: 354 Warning (16788): Net "avm_readdata_wrapped[8191]" does not have a driver at lsu_wide_wrapper.v(171) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_wide_wrapper.v Line: 171 Warning (16788): Net "avm_readdatavalid_wrapped" does not have a driver at lsu_wide_wrapper.v(178) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_wide_wrapper.v Line: 178 Warning (13469): Verilog HDL assignment warning at lsu_top.v(336): truncated value with size 32 to match size of target (5) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_top.v Line: 336 Warning (13469): Verilog HDL assignment warning at lsu_top.v(339): truncated value with size 32 to match size of target (5) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_top.v Line: 339 Warning (16735): Verilog HDL warning at TrickleSimple.v(237320): actual bit length 64 differs from formal bit length 33 for port "i_address" File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/TrickleSimple.v Line: 237320 Warning (16735): Verilog HDL warning at TrickleSimple.v(237324): actual bit length 64 differs from formal bit length 33 for port "i_bitwiseor" File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/TrickleSimple.v Line: 237324 Warning (16735): Verilog HDL warning at TrickleSimple.v(237436): actual bit length 64 differs from formal bit length 33 for port "i_address" File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/TrickleSimple.v Line: 237436 Warning (16735): Verilog HDL warning at TrickleSimple.v(237440): actual bit length 64 differs from formal bit length 33 for port "i_bitwiseor" File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/TrickleSimple.v Line: 237440 Warning (13469): Verilog HDL assignment warning at lsu_ic_top.v(856): truncated value with size 609 to match size of target (33) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_ic_top.v Line: 856 Warning (13469): Verilog HDL assignment warning at lsu_ic_top.v(1700): truncated value with size 6 to match size of target (5) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_ic_top.v Line: 1700 Warning (16788): Net "ci_writedata[0][0][511]" does not have a driver at lsu_ic_top.v(572) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_ic_top.v Line: 572 Warning (13469): Verilog HDL assignment warning at lsu_ic_top.v(2559): truncated value with size 6 to match size of target (5) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_ic_top.v Line: 2559 Warning (16735): Verilog HDL warning at lsu_ic_top.v(1900): actual bit length 2 differs from formal bit length 1 for port "i_to_avm_port_num" File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/lsu_ic_top.v Line: 1900 Warning (13469): Verilog HDL assignment warning at acl_profiler_extmem.v(124): truncated value with size 32 to match size of target (5) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/acl_profiler_extmem.v Line: 124 Warning (16788): Net "avm_kernel_rd_writeack[0]" does not have a driver at TrickleSimple_system.v(69) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/TrickleSimple_system.v Line: 69 Warning (16788): Net "avm_kernel_wr_readdata[0][511]" does not have a driver at TrickleSimple_system.v(78) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/TrickleSimple_system.v Line: 78 Warning (16788): Net "avm_kernel_wr_readdatavalid[0]" does not have a driver at TrickleSimple_system.v(79) File: /var/scratch/avdploeg/TrickleSimple/kernel_system/TrickleSimple_system_140/synth/TrickleSimple_system.v Line: 79 Info (13231): Verilog HDL or VHDL information: EDA Netlist Writer cannot regroup multidimensional array "ci_id[0][0]" into its bus Info (13231): Verilog HDL or VHDL information: EDA Netlist Writer cannot regroup multidimensional array "ci_id[1][0]" into its bus Info (13231): Verilog HDL or VHDL information: EDA Netlist Writer cannot regroup multidimensional array "ci_byteenable[0][0]" into its bus Info (13231): Verilog HDL or VHDL information: EDA Netlist Writer cannot regroup multidimensional array "ci_byteenable[0][1]" into its bus Info (13231): Verilog HDL or VHDL information: EDA Netlist Writer cannot regroup multidimensional array "ci_byteenable[1][0]" into its bus Info (13231): Verilog HDL or VHDL information: EDA Netlist Writer cannot regroup multidimensional array "ci_byteenable[1][1]" into its bus Info (13231): Verilog HDL or VHDL information: EDA Netlist Writer cannot regroup multidimensional array "ci_address[0][0]" into its bus Info (13231): Verilog HDL or VHDL information: EDA Netlist Writer cannot regroup multidimensional array "ci_address[0][1]" into its bus Info (13231): Verilog HDL or VHDL information: EDA Netlist Writer cannot regroup multidimensional array "ci_address[1][0]" into its bus Info (13231): Verilog HDL or VHDL information: EDA Netlist Writer cannot regroup multidimensional array "ci_address[1][1]" into its bus Info (13231): Verilog HDL or VHDL information: EDA Netlist Writer cannot regroup multidimensional array "ci_burstcount[0][0]" into its bus Info (13231): Verilog HDL or VHDL information: EDA Netlist Writer cannot regroup multidimensional array "ci_burstcount[0][1]" into its bus Info (13231): Verilog HDL or VHDL information: EDA Netlist Writer cannot regroup multidimensional array "ci_burstcount[1][0]" into its bus Info (13231): Verilog HDL or VHDL information: EDA Netlist Writer cannot regroup multidimensional array "ci_burstcount[1][1]" into its bus Info (13231): Verilog HDL or VHDL information: EDA Netlist Writer cannot regroup multidimensional array "ci_writedata[0][0]" into its bus Info (13231): Verilog HDL or VHDL information: EDA Netlist Writer cannot regroup multidimensional array "ci_writedata[0][1]" into its bus Info (13231): Verilog HDL or VHDL information: EDA Netlist Writer cannot regroup multidimensional array "ci_writedata[1][0]" into its bus Info (13231): Verilog HDL or VHDL information: EDA Netlist Writer cannot regroup multidimensional array "ci_writedata[1][1]" into its bus Critical Warning (127004): Memory depth (32) in the design file differs from memory depth (33) in the Memory Initialization File "/var/scratch/avdploeg/TrickleSimple/sys_description.hex" -- truncated remaining initial content value to fit RAM File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_gi74.tdf Line: 35 Info: Found 218 design entities Info: There are 4604 partitions after elaboration. Info: Creating instance-specific data models and dissolving soft partitions Info (18299): Expanding entity and wildcard assignments. Info (18300): Expanded entity and wildcard assignments. Elapsed time: 00:00:27 Warning (14284): Synthesized away the following node(s): Warning (14285): Synthesized away the following RAM node(s): Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[0]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 40 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[1]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 72 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[2]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 104 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[3]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 136 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[4]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 168 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[5]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 200 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[6]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 232 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[7]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 264 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[9]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 328 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[10]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 360 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[11]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 392 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[12]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 424 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[13]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 456 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[14]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 488 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[15]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 520 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[16]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 552 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[17]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 584 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[18]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 616 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[19]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 648 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[20]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 680 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[21]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 712 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[22]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 744 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[23]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 776 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[24]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 808 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[25]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 840 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[26]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 872 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[27]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 904 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[28]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 936 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[29]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 968 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[30]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 1000 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[31]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 1032 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[0]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 40 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[1]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 72 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[2]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 104 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[3]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 136 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[4]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 168 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[5]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 200 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[6]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 232 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[7]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 264 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[8]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 296 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[9]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 328 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[10]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 360 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[11]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 392 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[12]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 424 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[13]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 456 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[14]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 488 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[15]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 520 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[16]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 552 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[17]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 584 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[18]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 616 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[19]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 648 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[20]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 680 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[21]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 712 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[22]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 744 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[23]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 776 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[24]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 808 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[25]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 840 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[26]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 872 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[27]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 904 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[28]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 936 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[29]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 968 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[30]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 1000 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[31]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 1032 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[32]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 1064 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[33]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 1096 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[34]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 1128 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[35]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 1160 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[36]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 1192 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[37]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 1224 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[38]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 1256 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[39]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 1288 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[40]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 1320 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[41]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 1352 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[42]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 1384 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[43]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 1416 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[44]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 1448 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[45]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 1480 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[46]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 1512 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[47]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 1544 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[48]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 1576 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[49]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 1608 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[50]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 1640 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[51]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 1672 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[52]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 1704 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[53]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 1736 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[54]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 1768 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[55]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 1800 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[56]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 1832 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[57]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 1864 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[58]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 1896 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[59]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 1928 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[60]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 1960 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[61]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 1992 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[62]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 2024 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[63]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 2056 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[64]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 2088 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[65]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 2120 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[66]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 2152 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[97]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 3144 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[98]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 3176 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[99]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 3208 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[100]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 3240 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[101]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 3272 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[102]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 3304 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[103]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 3336 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[104]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 3368 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[105]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 3400 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[106]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 3432 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[107]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 3464 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[108]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 3496 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[109]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 3528 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[110]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 3560 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[111]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 3592 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[112]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 3624 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[113]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 3656 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[114]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 3688 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[115]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 3720 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[116]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 3752 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[117]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 3784 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[118]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 3816 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[119]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 3848 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[120]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 3880 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[121]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 3912 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[122]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 3944 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[123]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 3976 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[124]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 4008 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[125]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 4040 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[126]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 4072 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[127]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 4104 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[128]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 4136 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[129]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 4168 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[130]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 4200 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[161]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 5192 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[162]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 5224 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[163]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 5256 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[164]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 5288 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[165]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 5320 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[166]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 5352 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[167]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 5384 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[168]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 5416 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[169]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 5448 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[170]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 5480 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[171]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 5512 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[172]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 5544 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[173]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 5576 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[174]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 5608 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[175]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 5640 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[176]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 5672 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[177]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 5704 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[178]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 5736 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[179]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 5768 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[180]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 5800 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[181]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 5832 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[182]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 5864 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[183]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 5896 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[184]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 5928 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[185]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 5960 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[186]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 5992 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[187]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 6024 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[188]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 6056 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[189]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 6088 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[190]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 6120 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[191]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 6152 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[193]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 6216 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[194]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 6248 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[195]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 6280 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[196]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 6312 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[197]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 6344 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[198]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 6376 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[199]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 6408 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[201]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 6472 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[202]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 6504 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[203]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 6536 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[204]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 6568 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[205]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 6600 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[206]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 6632 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[207]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 6664 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[209]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 6728 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[210]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 6760 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[211]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 6792 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[212]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 6824 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[213]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 6856 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[214]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 6888 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[215]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 6920 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[216]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 6952 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[217]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 6984 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[218]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 7016 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[219]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 7048 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[220]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 7080 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[221]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 7112 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[222]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 7144 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[223]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 7176 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[0]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 40 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[1]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 72 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[2]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 104 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[3]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 136 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[4]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 168 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[5]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 200 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[6]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 232 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[7]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 264 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[8]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 296 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[9]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 328 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[10]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 360 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[11]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 392 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[12]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 424 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[13]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 456 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[14]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 488 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[15]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 520 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[16]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 552 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[17]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 584 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[18]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 616 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[19]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 648 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[20]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 680 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[21]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 712 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[22]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 744 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[23]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 776 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[24]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 808 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[25]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 840 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[26]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 872 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[27]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 904 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[28]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 936 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[29]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 968 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[30]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 1000 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[31]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 1032 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[32]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 1064 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[33]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 1096 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[34]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 1128 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[35]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 1160 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[36]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 1192 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[37]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 1224 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[38]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 1256 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[39]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 1288 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[40]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 1320 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[41]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 1352 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[42]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 1384 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[43]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 1416 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[44]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 1448 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[45]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 1480 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[46]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 1512 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[47]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 1544 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[48]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 1576 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[49]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 1608 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[50]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 1640 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[51]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 1672 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[52]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 1704 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[53]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 1736 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[54]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 1768 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[55]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 1800 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[56]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 1832 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[57]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 1864 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[58]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 1896 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[59]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 1928 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[60]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 1960 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[61]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 1992 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[62]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 2024 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[63]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 2056 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[64]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 2088 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[65]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 2120 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[66]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 2152 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[67]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 2184 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[68]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 2216 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[69]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 2248 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[70]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 2280 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[71]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 2312 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[72]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 2344 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[73]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 2376 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[74]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 2408 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[75]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 2440 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[76]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 2472 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[77]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 2504 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[78]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 2536 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[79]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 2568 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[80]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 2600 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[81]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 2632 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[82]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 2664 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[83]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 2696 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[84]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 2728 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[85]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 2760 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[86]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 2792 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[87]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 2824 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[88]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 2856 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[89]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 2888 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[90]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 2920 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[91]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 2952 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[92]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 2984 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[93]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 3016 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[94]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 3048 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[95]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 3080 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[96]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 3112 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[97]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 3144 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[98]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 3176 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[99]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 3208 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[100]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 3240 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[101]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 3272 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[102]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 3304 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[103]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 3336 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[104]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 3368 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[105]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 3400 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[106]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 3432 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[107]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 3464 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[108]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 3496 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[109]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 3528 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[110]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 3560 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[111]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 3592 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[112]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 3624 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[113]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 3656 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[114]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 3688 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[115]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 3720 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[116]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 3752 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[117]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 3784 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[118]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 3816 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[119]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 3848 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[120]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 3880 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[121]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 3912 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[122]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 3944 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[123]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 3976 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[124]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 4008 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[125]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 4040 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[126]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 4072 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[127]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 4104 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[128]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 4136 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[129]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 4168 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[130]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 4200 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[131]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 4232 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[132]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 4264 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[133]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 4296 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[134]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 4328 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[135]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 4360 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[136]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 4392 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[137]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 4424 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[138]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 4456 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[139]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 4488 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[140]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 4520 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[141]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 4552 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[142]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 4584 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[143]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 4616 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[144]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 4648 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[145]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 4680 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[146]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 4712 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[147]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 4744 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[148]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 4776 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[149]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 4808 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[150]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 4840 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[151]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 4872 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[152]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 4904 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[153]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 4936 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[154]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 4968 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[155]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 5000 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[156]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 5032 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[157]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 5064 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[158]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 5096 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[159]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 5128 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[160]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 5160 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[161]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 5192 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[162]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 5224 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[163]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 5256 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[164]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 5288 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[165]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 5320 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[166]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 5352 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[167]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 5384 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[168]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 5416 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[169]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 5448 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[170]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 5480 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[171]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 5512 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[172]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 5544 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[173]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 5576 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[174]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 5608 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[175]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 5640 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[176]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 5672 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[177]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 5704 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[178]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 5736 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[179]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 5768 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[180]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 5800 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[181]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 5832 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[182]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 5864 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[183]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 5896 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[184]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 5928 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[185]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 5960 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[186]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 5992 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[187]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 6024 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[188]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 6056 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[189]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 6088 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[190]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 6120 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[191]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 6152 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[193]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 6216 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[194]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 6248 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[195]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 6280 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[196]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 6312 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[197]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 6344 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[198]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 6376 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[199]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 6408 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[201]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 6472 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[202]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 6504 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[203]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 6536 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[204]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 6568 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[205]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 6600 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[206]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 6632 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[207]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 6664 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[209]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 6728 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[210]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 6760 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[211]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 6792 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[212]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 6824 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[213]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 6856 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[214]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 6888 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[215]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 6920 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[216]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 6952 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[217]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 6984 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[218]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 7016 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[219]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 7048 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[220]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 7080 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[221]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 7112 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[222]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 7144 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[223]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 7176 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|lsu_local_bb2_ld_memcoalesce_input_load_0|lsu_wide|lsu_wide|bursting_non_aligned_read|pipelined_read|GEN_WORD_OFFSET_FIFO.offset_fifo|auto_generated|dpfifo|FIFOram|q_b[11]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_ebl1.tdf Line: 392 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|lsu_local_bb2_ld_memcoalesce_input_load_03581|lsu_wide|lsu_wide|bursting_non_aligned_read|pipelined_read|GEN_WORD_OFFSET_FIFO.offset_fifo|auto_generated|dpfifo|FIFOram|q_b[11]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_ebl1.tdf Line: 392 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[0]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 40 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[1]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 72 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[2]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 104 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[3]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 136 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[4]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 168 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[5]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 200 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[6]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 232 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[7]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 264 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[8]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 296 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[9]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 328 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[10]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 360 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[11]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 392 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[12]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 424 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[13]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 456 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[14]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 488 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[15]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 520 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[16]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 552 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[17]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 584 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[18]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 616 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[19]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 648 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[20]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 680 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[21]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 712 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[22]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 744 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[23]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 776 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[24]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 808 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[25]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 840 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[26]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 872 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[27]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 904 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[28]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 936 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[29]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 968 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[30]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 1000 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[31]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 1032 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[32]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 1064 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[33]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 1096 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[34]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 1128 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[35]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 1160 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[36]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 1192 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[37]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 1224 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[38]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 1256 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[39]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 1288 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[40]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 1320 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[41]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 1352 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[42]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 1384 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[43]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 1416 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[44]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 1448 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[45]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 1480 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[46]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 1512 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[47]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 1544 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[48]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 1576 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[49]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 1608 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[50]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 1640 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[51]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 1672 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[52]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 1704 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[53]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 1736 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[54]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 1768 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[55]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 1800 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[56]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 1832 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[57]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 1864 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[58]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 1896 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[59]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 1928 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[60]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 1960 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[61]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 1992 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[62]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 2024 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[63]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 2056 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[64]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 2088 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[65]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 2120 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[66]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 2152 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[67]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 2184 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[68]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 2216 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[69]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 2248 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[70]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 2280 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[71]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 2312 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[72]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 2344 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[73]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 2376 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[74]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 2408 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[75]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 2440 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[76]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 2472 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[77]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 2504 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[78]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 2536 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[79]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 2568 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[80]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 2600 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[81]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 2632 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[82]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 2664 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[83]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 2696 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[84]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 2728 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[85]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 2760 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[86]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 2792 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[87]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 2824 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[88]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 2856 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[89]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 2888 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[90]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 2920 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[91]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 2952 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[92]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 2984 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[93]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 3016 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[94]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 3048 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[95]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 3080 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[96]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 3112 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[97]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 3144 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[98]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 3176 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[99]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 3208 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[100]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 3240 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[101]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 3272 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[102]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 3304 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[103]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 3336 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[104]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 3368 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[105]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 3400 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[106]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 3432 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[107]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 3464 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[108]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 3496 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[109]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 3528 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[110]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 3560 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[111]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 3592 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[112]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 3624 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[113]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 3656 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[114]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 3688 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[115]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 3720 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[116]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 3752 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[117]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 3784 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[118]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 3816 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[119]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 3848 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[120]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 3880 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[121]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 3912 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[122]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 3944 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[123]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 3976 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[124]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 4008 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[125]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 4040 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[126]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 4072 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[127]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 4104 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[128]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 4136 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[129]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 4168 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[130]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 4200 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[131]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 4232 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[132]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 4264 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[133]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 4296 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[134]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 4328 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[135]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 4360 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[136]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 4392 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[137]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 4424 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[138]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 4456 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[139]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 4488 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[140]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 4520 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[141]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 4552 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[142]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 4584 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[143]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 4616 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[144]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 4648 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[145]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 4680 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[146]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 4712 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[147]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 4744 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[148]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 4776 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[149]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 4808 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[150]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 4840 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[151]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 4872 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[152]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 4904 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[153]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 4936 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[154]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 4968 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[155]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 5000 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[156]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 5032 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[157]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 5064 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[158]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 5096 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[159]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 5128 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[160]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 5160 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[161]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 5192 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[162]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 5224 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[163]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 5256 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[164]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 5288 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[165]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 5320 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[166]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 5352 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[167]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 5384 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[168]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 5416 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[169]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 5448 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[170]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 5480 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[171]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 5512 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[172]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 5544 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[173]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 5576 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[174]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 5608 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[175]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 5640 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[176]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 5672 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[177]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 5704 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[178]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 5736 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[179]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 5768 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[180]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 5800 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[181]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 5832 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[182]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 5864 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[183]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 5896 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[184]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 5928 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[185]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 5960 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[186]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 5992 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[187]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 6024 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[188]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 6056 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[189]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 6088 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[190]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 6120 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[191]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 6152 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[193]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 6216 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[194]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 6248 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[195]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 6280 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[196]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 6312 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[197]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 6344 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[198]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 6376 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[199]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 6408 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[200]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 6440 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[201]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 6472 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[202]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 6504 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[203]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 6536 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[204]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 6568 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[205]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 6600 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[206]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 6632 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[207]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 6664 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[209]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 6728 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[210]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 6760 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[211]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 6792 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[212]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 6824 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[213]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 6856 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[214]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 6888 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[215]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 6920 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[216]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 6952 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[217]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 6984 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[218]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 7016 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[219]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 7048 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[220]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 7080 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[221]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 7112 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[222]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 7144 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[223]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 7176 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c0_exit118_c0_exi512_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[0]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_mhl1.tdf Line: 40 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c0_exit118_c0_exi512_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[1]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_mhl1.tdf Line: 72 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c0_exit118_c0_exi512_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[2]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_mhl1.tdf Line: 104 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c0_exit118_c0_exi512_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[3]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_mhl1.tdf Line: 136 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c0_exit118_c0_exi512_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[4]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_mhl1.tdf Line: 168 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c0_exit118_c0_exi512_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[5]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_mhl1.tdf Line: 200 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c0_exit118_c0_exi512_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[6]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_mhl1.tdf Line: 232 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c0_exit118_c0_exi512_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[7]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_mhl1.tdf Line: 264 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c0_exit118_c0_exi512_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[8]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_mhl1.tdf Line: 296 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c0_exit118_c0_exi512_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[9]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_mhl1.tdf Line: 328 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c0_exit118_c0_exi512_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[10]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_mhl1.tdf Line: 360 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c0_exit118_c0_exi512_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[11]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_mhl1.tdf Line: 392 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c0_exit118_c0_exi512_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[12]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_mhl1.tdf Line: 424 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c0_exit118_c0_exi512_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[13]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_mhl1.tdf Line: 456 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c0_exit118_c0_exi512_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[14]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_mhl1.tdf Line: 488 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c0_exit118_c0_exi512_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[15]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_mhl1.tdf Line: 520 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c0_exit118_c0_exi512_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[16]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_mhl1.tdf Line: 552 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c0_exit118_c0_exi512_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[17]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_mhl1.tdf Line: 584 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c0_exit118_c0_exi512_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[18]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_mhl1.tdf Line: 616 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c0_exit118_c0_exi512_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[19]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_mhl1.tdf Line: 648 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c0_exit118_c0_exi512_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[20]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_mhl1.tdf Line: 680 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c0_exit118_c0_exi512_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[21]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_mhl1.tdf Line: 712 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c0_exit118_c0_exi512_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[22]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_mhl1.tdf Line: 744 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c0_exit118_c0_exi512_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[23]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_mhl1.tdf Line: 776 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c0_exit118_c0_exi512_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[24]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_mhl1.tdf Line: 808 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c0_exit118_c0_exi512_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[25]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_mhl1.tdf Line: 840 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c0_exit118_c0_exi512_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[26]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_mhl1.tdf Line: 872 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c0_exit118_c0_exi512_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[27]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_mhl1.tdf Line: 904 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c0_exit118_c0_exi512_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[28]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_mhl1.tdf Line: 936 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c0_exit118_c0_exi512_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[29]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_mhl1.tdf Line: 968 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c0_exit118_c0_exi512_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[30]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_mhl1.tdf Line: 1000 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c0_exit118_c0_exi512_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[31]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_mhl1.tdf Line: 1032 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_3|lsu_local_bb3_st_memcoalesce_output_insertValue_1273727|lsu_wide|lsu_wide|bursting_write|bursting_write|req_fifo|fifo_inner|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[9216]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_lbl1.tdf Line: 294952 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_3|lsu_local_bb3_st_memcoalesce_output_insertValue_1273727|lsu_wide|lsu_wide|bursting_write|bursting_write|req_fifo|fifo_inner|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[9217]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_lbl1.tdf Line: 294984 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_3|lsu_local_bb3_st_memcoalesce_output_insertValue_1273727|lsu_wide|lsu_wide|bursting_write|bursting_write|req_fifo|fifo_inner|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[9218]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_lbl1.tdf Line: 295016 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_3|lsu_local_bb3_st_memcoalesce_output_insertValue_1273727|lsu_wide|lsu_wide|bursting_write|bursting_write|req_fifo|fifo_inner|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[9219]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_lbl1.tdf Line: 295048 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_3|lsu_local_bb3_st_memcoalesce_output_insertValue_1273727|lsu_wide|lsu_wide|bursting_write|bursting_write|req_fifo|fifo_inner|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[9220]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_lbl1.tdf Line: 295080 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_3|lsu_local_bb3_st_memcoalesce_output_insertValue_1273727|lsu_wide|lsu_wide|bursting_write|bursting_write|req_fifo|fifo_inner|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[9221]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_lbl1.tdf Line: 295112 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_3|lsu_local_bb3_st_memcoalesce_output_insertValue_1273727|lsu_wide|lsu_wide|bursting_write|bursting_write|req_fifo|fifo_inner|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[9222]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_lbl1.tdf Line: 295144 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_3|lsu_local_bb3_st_memcoalesce_output_insertValue_1273727|lsu_wide|lsu_wide|bursting_write|bursting_write|req_fifo|fifo_inner|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[9223]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_lbl1.tdf Line: 295176 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_3|lsu_local_bb3_st_memcoalesce_output_insertValue_1273727|lsu_wide|lsu_wide|bursting_write|bursting_write|ack_fifo|fifo_inner|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[0]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_35l1.tdf Line: 40 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_3|lsu_local_bb3_st_memcoalesce_output_insertValue_1273727|lsu_wide|lsu_wide|bursting_write|bursting_write|ack_fifo|fifo_inner|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[1]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_35l1.tdf Line: 72 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_3|lsu_local_bb3_st_memcoalesce_output_insertValue_1273727|lsu_wide|lsu_wide|bursting_write|bursting_write|ack_fifo|fifo_inner|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[2]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_35l1.tdf Line: 104 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_3|lsu_local_bb3_st_memcoalesce_output_insertValue_1273727|lsu_wide|lsu_wide|bursting_write|bursting_write|ack_fifo|fifo_inner|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[3]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_35l1.tdf Line: 136 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_3|lsu_local_bb3_st_memcoalesce_output_insertValue_1273727|lsu_wide|lsu_wide|bursting_write|bursting_write|ack_fifo|fifo_inner|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[4]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_35l1.tdf Line: 168 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_3|lsu_local_bb3_st_memcoalesce_output_insertValue_1273727|lsu_wide|lsu_wide|bursting_write|bursting_write|ack_fifo|fifo_inner|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[5]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_35l1.tdf Line: 200 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_3|lsu_local_bb3_st_memcoalesce_output_insertValue_1273727|lsu_wide|lsu_wide|bursting_write|bursting_write|ack_fifo|fifo_inner|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[6]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_35l1.tdf Line: 232 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_3|lsu_local_bb3_st_memcoalesce_output_insertValue_1273727|lsu_wide|lsu_wide|bursting_write|bursting_write|ack_fifo|fifo_inner|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[7]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_35l1.tdf Line: 264 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_3|lsu_local_bb3_st_memcoalesce_output_insertValue_127|lsu_wide|lsu_wide|bursting_write|bursting_write|req_fifo|fifo_inner|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[9216]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_lbl1.tdf Line: 294952 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_3|lsu_local_bb3_st_memcoalesce_output_insertValue_127|lsu_wide|lsu_wide|bursting_write|bursting_write|req_fifo|fifo_inner|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[9217]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_lbl1.tdf Line: 294984 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_3|lsu_local_bb3_st_memcoalesce_output_insertValue_127|lsu_wide|lsu_wide|bursting_write|bursting_write|req_fifo|fifo_inner|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[9218]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_lbl1.tdf Line: 295016 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_3|lsu_local_bb3_st_memcoalesce_output_insertValue_127|lsu_wide|lsu_wide|bursting_write|bursting_write|req_fifo|fifo_inner|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[9219]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_lbl1.tdf Line: 295048 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_3|lsu_local_bb3_st_memcoalesce_output_insertValue_127|lsu_wide|lsu_wide|bursting_write|bursting_write|req_fifo|fifo_inner|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[9220]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_lbl1.tdf Line: 295080 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_3|lsu_local_bb3_st_memcoalesce_output_insertValue_127|lsu_wide|lsu_wide|bursting_write|bursting_write|req_fifo|fifo_inner|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[9221]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_lbl1.tdf Line: 295112 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_3|lsu_local_bb3_st_memcoalesce_output_insertValue_127|lsu_wide|lsu_wide|bursting_write|bursting_write|req_fifo|fifo_inner|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[9222]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_lbl1.tdf Line: 295144 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_3|lsu_local_bb3_st_memcoalesce_output_insertValue_127|lsu_wide|lsu_wide|bursting_write|bursting_write|req_fifo|fifo_inner|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[9223]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_lbl1.tdf Line: 295176 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_3|lsu_local_bb3_st_memcoalesce_output_insertValue_127|lsu_wide|lsu_wide|bursting_write|bursting_write|ack_fifo|fifo_inner|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[0]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_35l1.tdf Line: 40 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_3|lsu_local_bb3_st_memcoalesce_output_insertValue_127|lsu_wide|lsu_wide|bursting_write|bursting_write|ack_fifo|fifo_inner|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[1]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_35l1.tdf Line: 72 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_3|lsu_local_bb3_st_memcoalesce_output_insertValue_127|lsu_wide|lsu_wide|bursting_write|bursting_write|ack_fifo|fifo_inner|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[2]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_35l1.tdf Line: 104 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_3|lsu_local_bb3_st_memcoalesce_output_insertValue_127|lsu_wide|lsu_wide|bursting_write|bursting_write|ack_fifo|fifo_inner|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[3]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_35l1.tdf Line: 136 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_3|lsu_local_bb3_st_memcoalesce_output_insertValue_127|lsu_wide|lsu_wide|bursting_write|bursting_write|ack_fifo|fifo_inner|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[4]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_35l1.tdf Line: 168 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_3|lsu_local_bb3_st_memcoalesce_output_insertValue_127|lsu_wide|lsu_wide|bursting_write|bursting_write|ack_fifo|fifo_inner|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[5]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_35l1.tdf Line: 200 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_3|lsu_local_bb3_st_memcoalesce_output_insertValue_127|lsu_wide|lsu_wide|bursting_write|bursting_write|ack_fifo|fifo_inner|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[6]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_35l1.tdf Line: 232 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_3|lsu_local_bb3_st_memcoalesce_output_insertValue_127|lsu_wide|lsu_wide|bursting_write|bursting_write|ack_fifo|fifo_inner|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[7]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_35l1.tdf Line: 264 Info: Saving pre-synthesis snapshots for 1 partition(s) Info: Synthesizing partition "|" Warning (14284): Synthesized away the following node(s): Warning (14285): Synthesized away the following RAM node(s): Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[246]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 7912 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[247]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 7944 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[248]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 7976 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[249]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 8008 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[250]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 8040 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[251]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 8072 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[252]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 8104 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[253]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 8136 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[254]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 8168 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|local_bb2_c1_exit_c1_exi6_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[255]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_dbl1.tdf Line: 8200 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[246]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 7912 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[247]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 7944 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[248]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 7976 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[249]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 8008 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[250]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 8040 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[251]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 8072 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[252]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 8104 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[253]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 8136 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[254]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 8168 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_10to121_bb2_c1_exit_c1_exi6_0_reg_121_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[255]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_rel1.tdf Line: 8200 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[246]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 7912 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[247]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 7944 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[248]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 7976 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[249]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 8008 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[250]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 8040 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[251]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 8072 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[252]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 8104 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[253]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 8136 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[254]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 8168 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|rnode_122to141_bb2_c1_exit_c1_exi6_0_reg_141_fifo|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[255]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_bbl1.tdf Line: 8200 Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (14284): Synthesized away the following node(s): Warning (14285): Synthesized away the following RAM node(s): Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[62]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 2024 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[63]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 2056 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[94]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 3048 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[95]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 3080 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[118]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 3816 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[119]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 3848 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[120]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 3880 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[121]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 3912 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[122]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 3944 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[123]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 3976 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[124]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 4008 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[125]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 4040 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[126]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 4072 Warning (14320): Synthesized away node "tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_1|local_bb1_c0_exit_c0_exi5_instance|fifo|scfifo_component|auto_generated|dpfifo|FIFOram|q_b[127]" File: /var/scratch/avdploeg/TrickleSimple/tmp-clearbox/top_synth/10413/altsyncram_9bl1.tdf Line: 4104 Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Info (286030): Timing-Driven Synthesis is running Info (125061): Changed top-level design entity name to "top" Info (125061): Changed top-level design entity name to "kernel_system" Warning (125092): Tcl Script File board/board.qip not found Info (125063): set_global_assignment -name QIP_FILE board/board.qip Info (125061): Changed top-level design entity name to "top" Info (125061): Changed top-level design entity name to "kernel_system" Warning (125092): Tcl Script File board/board.qip not found Info (125063): set_global_assignment -name QIP_FILE board/board.qip Info: qis_default_flow_script.tcl version: #1 Info: Initializing Spectra-Q Synthesis... Info: Project = "top" Info: Revision = "top_synth" Info: qis_default_flow_script.tcl version: #1 Info: Initializing Spectra-Q Synthesis... Info: Project = "top" Info: Revision = "top_synth" Info (125061): Changed top-level design entity name to "top" Info (125061): Changed top-level design entity name to "kernel_system" Warning (125092): Tcl Script File board/board.qip not found Info (125063): set_global_assignment -name QIP_FILE board/board.qip Info (16303): High Performance Effort optimization mode selected -- timing performance will be prioritized at the potential cost of increased compilation time Info: qis_default_flow_script.tcl version: #1 Info: Initializing Spectra-Q Synthesis... Info: Project = "top" Info: Revision = "top_synth" Info (16303): High Performance Effort optimization mode selected -- timing performance will be prioritized at the potential cost of increased compilation time Info (125061): Changed top-level design entity name to "top" Info (125061): Changed top-level design entity name to "kernel_system" Warning (125092): Tcl Script File board/board.qip not found Info (125063): set_global_assignment -name QIP_FILE board/board.qip Info (16303): High Performance Effort optimization mode selected -- timing performance will be prioritized at the potential cost of increased compilation time Info: qis_default_flow_script.tcl version: #1 Info: Initializing Spectra-Q Synthesis... Info: Project = "top" Info: Revision = "top_synth" Info (16303): High Performance Effort optimization mode selected -- timing performance will be prioritized at the potential cost of increased compilation time Info (125061): Changed top-level design entity name to "top" Info (125061): Changed top-level design entity name to "kernel_system" Warning (125092): Tcl Script File board/board.qip not found Info (125063): set_global_assignment -name QIP_FILE board/board.qip Info: qis_default_flow_script.tcl version: #1 Info: Initializing Spectra-Q Synthesis... Info: Project = "top" Info: Revision = "top_synth" Info (125061): Changed top-level design entity name to "top" Info (125061): Changed top-level design entity name to "kernel_system" Warning (125092): Tcl Script File board/board.qip not found Info (125063): set_global_assignment -name QIP_FILE board/board.qip Info (16303): High Performance Effort optimization mode selected -- timing performance will be prioritized at the potential cost of increased compilation time Info: qis_default_flow_script.tcl version: #1 Info: Initializing Spectra-Q Synthesis... Info: Project = "top" Info: Revision = "top_synth" Info (125061): Changed top-level design entity name to "top" Info (125061): Changed top-level design entity name to "kernel_system" Warning (125092): Tcl Script File board/board.qip not found Info (125063): set_global_assignment -name QIP_FILE board/board.qip Info: qis_default_flow_script.tcl version: #1 Info: Initializing Spectra-Q Synthesis... Info: Project = "top" Info: Revision = "top_synth" Info (16303): High Performance Effort optimization mode selected -- timing performance will be prioritized at the potential cost of increased compilation time Info (125061): Changed top-level design entity name to "top" Info (16303): High Performance Effort optimization mode selected -- timing performance will be prioritized at the potential cost of increased compilation time Info (125061): Changed top-level design entity name to "kernel_system" Warning (125092): Tcl Script File board/board.qip not found Info (125063): set_global_assignment -name QIP_FILE board/board.qip Info: qis_default_flow_script.tcl version: #1 Info: Initializing Spectra-Q Synthesis... Info: Project = "top" Info: Revision = "top_synth" Info (16303): High Performance Effort optimization mode selected -- timing performance will be prioritized at the potential cost of increased compilation time Warning (13024): Output pins are stuck at VCC or GND Warning (13410): Pin "cc_snoop_ready" is stuck at GND File: /var/scratch/avdploeg/TrickleSimple/kernel_system/synth/kernel_system.v Line: 9 Warning (13410): Pin "kernel_mem0_address[0]" is stuck at GND File: /var/scratch/avdploeg/TrickleSimple/kernel_system/synth/kernel_system.v Line: 30 Warning (13410): Pin "kernel_mem0_address[1]" is stuck at GND File: /var/scratch/avdploeg/TrickleSimple/kernel_system/synth/kernel_system.v Line: 30 Warning (13410): Pin "kernel_mem0_address[2]" is stuck at GND File: /var/scratch/avdploeg/TrickleSimple/kernel_system/synth/kernel_system.v Line: 30 Warning (13410): Pin "kernel_mem0_address[3]" is stuck at GND File: /var/scratch/avdploeg/TrickleSimple/kernel_system/synth/kernel_system.v Line: 30 Warning (13410): Pin "kernel_mem0_address[4]" is stuck at GND File: /var/scratch/avdploeg/TrickleSimple/kernel_system/synth/kernel_system.v Line: 30 Warning (13410): Pin "kernel_mem0_address[5]" is stuck at GND File: /var/scratch/avdploeg/TrickleSimple/kernel_system/synth/kernel_system.v Line: 30 Warning (13410): Pin "kernel_mem0_debugaccess" is stuck at GND File: /var/scratch/avdploeg/TrickleSimple/kernel_system/synth/kernel_system.v Line: 34 Warning (13410): Pin "kernel_mem1_address[0]" is stuck at GND File: /var/scratch/avdploeg/TrickleSimple/kernel_system/synth/kernel_system.v Line: 40 Warning (13410): Pin "kernel_mem1_address[1]" is stuck at GND File: /var/scratch/avdploeg/TrickleSimple/kernel_system/synth/kernel_system.v Line: 40 Warning (13410): Pin "kernel_mem1_address[2]" is stuck at GND File: /var/scratch/avdploeg/TrickleSimple/kernel_system/synth/kernel_system.v Line: 40 Warning (13410): Pin "kernel_mem1_address[3]" is stuck at GND File: /var/scratch/avdploeg/TrickleSimple/kernel_system/synth/kernel_system.v Line: 40 Warning (13410): Pin "kernel_mem1_address[4]" is stuck at GND File: /var/scratch/avdploeg/TrickleSimple/kernel_system/synth/kernel_system.v Line: 40 Warning (13410): Pin "kernel_mem1_address[5]" is stuck at GND File: /var/scratch/avdploeg/TrickleSimple/kernel_system/synth/kernel_system.v Line: 40 Warning (13410): Pin "kernel_mem1_debugaccess" is stuck at GND File: /var/scratch/avdploeg/TrickleSimple/kernel_system/synth/kernel_system.v Line: 44 Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Info (17049): 27351 registers lost all their fanouts during netlist optimizations. Info (21057): Implemented 515035 device resources after synthesis - the final resource count might be different Info (21058): Implemented 1172 input pins Info (21059): Implemented 1300 output pins Info (21061): Implemented 468372 logic cells Info (21064): Implemented 42655 RAM segments Info: Successfully synthesized partition Info: Saving post-synthesis snapshots for 1 partition(s) Info: Quartus Prime Spectra-Q Synthesis was successful. 0 errors, 852 warnings Info: Peak virtual memory: 20561 megabytes Info: Processing ended: Mon May 1 13:06:40 2017 Info: Elapsed time: 00:16:58 Info: Total CPU time (on all processors): 00:28:35 Warning (125092): Tcl Script File board/board.qip not found Info (125063): set_global_assignment -name QIP_FILE board/board.qip Info: ******************************************************************* Info: Running Quartus Prime Fitter Info: Version 16.0.0 Build 211 04/27/2016 SJ Pro Edition Info: Copyright (C) 1991-2016 Altera Corporation. All rights reserved. Info: Your use of Altera Corporation's design tools, logic functions Info: and other software and tools, and its AMPP partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Altera Program License Info: Subscription Agreement, the Altera Quartus Prime License Agreement, Info: the Altera MegaCore Function License Agreement, or other Info: applicable license agreement, including, without limitation, Info: that your use is for the sole purpose of programming logic Info: devices manufactured by Altera and sold by Altera or its Info: authorized distributors. Please refer to the applicable Info: agreement for further details. Info: Processing started: Mon May 1 13:07:32 2017 Info: Command: quartus_fit top -c top Info: Using INI file /var/scratch/avdploeg/TrickleSimple/quartus.ini Info: qfit2_default_script.tcl version: #1 Info: Project = top Info: Revision = top Info (12262): Starting Fitter periphery placement operations Info (16677): Loading synthesized database Info (16734): Loading "final" snapshot for partition "|". Info (16734): Loading "synthesized" snapshot for partition "freeze_wrapper_inst|kernel_system_inst". Info (16678): Successfully loaded synthesized database: elapsed time is 00:00:28 Info (16303): High Performance Effort optimization mode selected -- timing performance will be prioritized at the potential cost of increased compilation time Info (20032): Parallel compilation is enabled and will use up to 4 processors Info (119006): Selected device 10AX115N3F40E2SG for design "top" Info (21077): Core supply voltage is 0.95V Info (21077): Low junction temperature is 0 degrees C Info (21077): High junction temperature is 100 degrees C Warning (18550): Found RAM instances implemented as ROM because the write logic is disabled. One instance is listed below as an example. Info (119043): Atom "board_inst|ddr3b|ioaux_master_component|ioaux_soft_ram|the_altsyncram|auto_generated|ram_block1a0" is instantiated as RAM, but it is actually implemented as ROM function because the write logic is always disabled Warning (12620): Input port OE of I/O output buffer "ucd_ctrlstatus_ucd_gpio21~output" is not connected, but the atom is driving a bi-directional pin Info (171004): Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance Info (176045): Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements. Warning (176050): Can't implement Global Signal option for node "config_clk~input" that drives nodes that cannot change routing due to incremental compilation -- other nodes are not affected Info (12290): Loading the periphery placement data. Info (12291): Periphery placement data loaded: elapsed time is 00:00:48 Warning (12620): Input port OE of I/O output buffer "ucd_ctrlstatus_ucd_gpio21~output" is not connected, but the atom is driving a bi-directional pin Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details Warning (12789): Real-time CRC ERROR_CHECK_FREQUENCY_DIVISOR value (1) in design does not match value (2) in the Quartus Prime Settings File Warning (12620): Input port OE of I/O output buffer "ucd_ctrlstatus_ucd_gpio21~output" is not connected, but the atom is driving a bi-directional pin Info (176045): Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements. Warning (12620): Input port OE of I/O output buffer "ucd_ctrlstatus_ucd_gpio21~output" is not connected, but the atom is driving a bi-directional pin Info (16210): Plan updated with currently enabled project assignments. Info (12295): Periphery placement of all unplaced cells complete: elapsed time is 00:00:01 Warning (18576): The supply "VCCR_GXB" at left side of HSSI is limited to "1.03V", overriding some of the power assignments for the tiles. Only side-wide power settings are allowed. Warning (18576): The supply "VCCT_GXB" at left side of HSSI is limited to "1.03V", overriding some of the power assignments for the tiles. Only side-wide power settings are allowed. Critical Warning (17951): There are 40 unused RX channels in the device. If you intend to use any of these channels in the future, you must add the assignment 'set_instance_assignment -name PRESERVE_UNUSED_XCVR_CHANNEL ON -to ' in your QSF file. This assignment will preserve the performance of specified channels over time. Critical Warning (18655): There are 40 unused TX channels in the device. If you intend to use any of these channels in the future, you must add the assignment 'set_instance_assignment -name PRESERVE_UNUSED_XCVR_CHANNEL ON -to ' in your QSF file. This assignment will preserve the performance of such channels over time. Info (11178): Promoted 8 clocks (8 global) Info (13173): board_inst|kernel_clk_gen|kernel_pll|altera_iopll_i|twentynm_pll|outclk[0]~CLKENA0 (497454 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_2J_G_I6 Info (13173): board_inst|kernel_clk_gen|kernel_pll|altera_iopll_i|twentynm_pll|outclk[1]~CLKENA0 (1 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_2J_G_I4 Info (13173): freeze_wrapper_inst|kernel_system_clock_reset_reset_reset_n~CLKENA0 (53505 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_2I_G_I11 Info (13173): board_inst|ddr3a|arch|arch_inst|pll_inst|pll_c_counters[3]~CLKENA0 (178 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_3B_G_I16 Info (13173): board_inst|kernel_interface|reset_controller_sw|alt_rst_sync_uq1|altera_reset_synchronizer_int_chain_out~CLKENA0 (1774 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_1E_G_I0 Info (13173): board_inst|config_iopll|altera_iopll_i|twentynm_pll|outclk[0]~CLKENA0 (1779 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_2I_G_I5 Info (13173): board_inst|ddr3a|arch|arch_inst|non_hps.core_clks_rsts_inst|clk_gen_hmc.hr_qr.clk_gen_master.emif_usr_clk_buf (33514 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_3B_G_I21 Info (13173): board_inst|pcie|altpcie_a10_hip_pipen1b|wys~CORE_CLK_OUTCLKENA0 (36328 fanout) drives Global Clock Region, with the buffer placed at CLKCTRL_1D_G_I15 Warning (335093): TimeQuest Timing Analyzer is analyzing 7 combinational loops as latches. For more details, run the Check Timing command in the TimeQuest Timing Analyzer or view the "User-Specified and Inferred Latches" table in the Analysis & Synthesis report. Info (332164): Evaluating HDL-embedded SDC commands Info (332165): Entity alt_xcvr_resync Info (332166): set regs [get_registers -nowarn *alt_xcvr_resync*sync_r[0]]; if {[llength [query_collection -report -all $regs]] > 0} {set_false_path -to $regs} Info (332165): Entity altera_std_synchronizer Info (332166): set_false_path -to [get_keepers {*altera_std_synchronizer:*|din_s1}] Info (332165): Entity altpcie_reset_delay_sync Info (332166): set_false_path -from [get_fanins -async *app_rstn_altpcie_reset_delay_sync_altpcie_a10_hip_hwtcl*rs_meta[*]] -to [get_keepers *app_rstn_altpcie_reset_delay_sync_altpcie_a10_hip_hwtcl*rs_meta[*]] Info (332166): set_false_path -from [get_fanins -async *por_sync_altpcie_reset_delay_sync*rs_meta[*]] -to [get_keepers *por_sync_altpcie_reset_delay_sync*rs_meta[*]] Info (332166): set_false_path -from [get_fanins -async *app_rstn_altpcie_reset_delay_sync_altpcie_a10_hip_hwtcl*rs_meta[*]] -to [get_keepers *app_rstn_altpcie_reset_delay_sync_altpcie_a10_hip_hwtcl*rs_meta[*]] Info (332166): set_false_path -from [get_fanins -async *por_sync_altpcie_reset_delay_sync*rs_meta[*]] -to [get_keepers *por_sync_altpcie_reset_delay_sync*rs_meta[*]] Info (332166): set_false_path -from [get_fanins -async *app_rstn_altpcie_reset_delay_sync_altpcie_a10_hip_hwtcl*rs_meta[*]] -to [get_keepers *app_rstn_altpcie_reset_delay_sync_altpcie_a10_hip_hwtcl*rs_meta[*]] Info (332166): set_false_path -from [get_fanins -async *por_sync_altpcie_reset_delay_sync*rs_meta[*]] -to [get_keepers *por_sync_altpcie_reset_delay_sync*rs_meta[*]] Info (332165): Entity altpcie_sc_bitsync Info (332166): set_multicycle_path -to [get_keepers *pld_clk_in_use_altpcie_sc_bitsync*altpcie_sc_bitsync_meta_dff[*]] 3 Info (332166): set_false_path -hold -to [get_keepers *pld_clk_in_use_altpcie_sc_bitsync*altpcie_sc_bitsync_meta_dff[*]] Info (332166): set_multicycle_path -to [get_keepers *reset_status_altpcie_sc_bitsync*altpcie_sc_bitsync_meta_dff[*]] 3 Info (332166): set_false_path -hold -to [get_keepers *reset_status_altpcie_sc_bitsync*altpcie_sc_bitsync_meta_dff[*]] Info (332165): Entity dcfifo_6ei1 Info (332166): set_false_path -from *rdptr_g* -to *ws_dgrp|dffpipe_3v8:dffpipe16|dffe17a* Info (332166): set_false_path -from *delayed_wrptr_g* -to *rs_dgwp|dffpipe_2v8:dffpipe13|dffe14a* Warning (332174): Ignored filter at qfit2_default_fitter_flow.tcl(300): *pld_clk_in_use_altpcie_sc_bitsync*altpcie_sc_bitsync_meta_dff[*] could not be matched with a keeper File: /cm/shared/package/altera_pro/16.0/quartus/common/tcl/internal/qfit2_default_fitter_flow.tcl Line: 300 Warning (332049): Ignored set_multicycle_path at qfit2_default_fitter_flow.tcl(300): Argument is an empty collection File: /cm/shared/package/altera_pro/16.0/quartus/common/tcl/internal/qfit2_default_fitter_flow.tcl Line: 300 Info (332050): eval "fit_plan $create_fitter_netlist_args" File: /cm/shared/package/altera_pro/16.0/quartus/common/tcl/internal/qfit2_default_fitter_flow.tcl Line: 300 Warning (332049): Ignored set_false_path at qfit2_default_fitter_flow.tcl(300): Argument is an empty collection File: /cm/shared/package/altera_pro/16.0/quartus/common/tcl/internal/qfit2_default_fitter_flow.tcl Line: 300 Info (332050): eval "fit_plan $create_fitter_netlist_args" File: /cm/shared/package/altera_pro/16.0/quartus/common/tcl/internal/qfit2_default_fitter_flow.tcl Line: 300 Info (332104): Reading SDC File: 'top.sdc' Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (332174): Ignored filter at top.sdc(25): ddr1_pll_ref_clk could not be matched with a port File: /var/scratch/avdploeg/TrickleSimple/top.sdc Line: 25 Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (332049): Ignored create_clock at top.sdc(25): Argument is an empty collection File: /var/scratch/avdploeg/TrickleSimple/top.sdc Line: 25 Info (332050): create_clock -period 266.66666666666667MHz [get_ports ddr1_pll_ref_clk] File: /var/scratch/avdploeg/TrickleSimple/top.sdc Line: 25 Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (332174): Ignored filter at top.sdc(29): altera_reserved_tck could not be matched with a port File: /var/scratch/avdploeg/TrickleSimple/top.sdc Line: 29 Warning (332049): Ignored create_clock at top.sdc(29): Argument is an empty collection File: /var/scratch/avdploeg/TrickleSimple/top.sdc Line: 29 Info (332050): create_clock -name {altera_reserved_tck} -period 50.000 -waveform { 0.000 25.000 } [get_ports {altera_reserved_tck}] File: /var/scratch/avdploeg/TrickleSimple/top.sdc Line: 29 Info (332104): Reading SDC File: 'kernel_system/altera_reset_controller_160/synth/altera_reset_controller.sdc' Info (332104): Reading SDC File: 'top_post.sdc' Info (332110): Deriving PLL clocks Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pld_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[0]|rx_clkout} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pcs_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[0]|rx_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|wys|pll_fixed_clk_central} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|wys~CORE_CLK_OUT} {board_inst|pcie|altpcie_a10_hip_pipen1b|wys|core_clk_out} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|wys~CORE_CLK_OUTCLKENA0|outclk} -duty_cycle 50.00 -name {board_inst|pcie|pld_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|wys|pld_clk} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pld_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[1]|rx_clkout} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pcs_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[1]|rx_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pld_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[2]|rx_clkout} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pcs_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[2]|rx_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pld_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[3]|rx_clkout} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pcs_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[3]|rx_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -duty_cycle 50.00 -name {board_inst|pcie|hip_cmn_clk[0]} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pld_pcs_interface.inst_twentynm_hssi_common_pld_pcs_interface|hip_cmn_clk[0]} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pld_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[4]|rx_clkout} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pcs_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[4]|rx_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pld_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[5]|rx_clkout} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pcs_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[5]|rx_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pld_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[6]|rx_clkout} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pcs_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[6]|rx_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pld_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[7]|rx_clkout} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|byte_deserializer_pcs_clk_div_by_4_txclk_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[7]|rx_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_rx_pcs.inst_twentynm_hssi_8g_rx_pcs|sta_rx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[0]|pma_hclk_by2} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|sta_pma_hclk_by2} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[1]} -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[0]|rx_fref} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_channel_pll.inst_twentynm_hssi_pma_channel_pll|fref} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[1]|pma_hclk_by2} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|sta_pma_hclk_by2} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[1]} -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[1]|rx_fref} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_channel_pll.inst_twentynm_hssi_pma_channel_pll|fref} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[2]|pma_hclk_by2} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|sta_pma_hclk_by2} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[0]} -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[2]|rx_fref} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_channel_pll.inst_twentynm_hssi_pma_channel_pll|fref} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[3]|pma_hclk_by2} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|sta_pma_hclk_by2} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[0]} -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[3]|rx_fref} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_channel_pll.inst_twentynm_hssi_pma_channel_pll|fref} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[4]|pma_hclk_by2} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|sta_pma_hclk_by2} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[0]} -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[4]|rx_fref} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_channel_pll.inst_twentynm_hssi_pma_channel_pll|fref} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[5]|pma_hclk_by2} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|sta_pma_hclk_by2} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[11]} -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[5]|rx_fref} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_channel_pll.inst_twentynm_hssi_pma_channel_pll|fref} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[6]|pma_hclk_by2} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|sta_pma_hclk_by2} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[11]} -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[6]|rx_fref} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_channel_pll.inst_twentynm_hssi_pma_channel_pll|fref} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|pma_hclk} -divide_by 2 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[7]|pma_hclk_by2} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_common_pcs_pma_interface.inst_twentynm_hssi_common_pcs_pma_interface|sta_pma_hclk_by2} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[11]} -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[7]|rx_fref} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_channel_pll.inst_twentynm_hssi_pma_channel_pll|fref} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[1]} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[0]|rx_pma_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pcs_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[0]|tx_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[0].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_pll.fpll_g3|fpll_g3|fpll_refclk_select_inst|refclk} -multiply_by 25 -duty_cycle 50.00 -name {board_inst|pcie|tx_serial_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_pll.fpll_g3|fpll_g3|fpll_inst|clk0} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_pll.fpll_g3|fpll_g3|fpll_refclk_select_inst|refclk} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|pll_pcie_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_pll.fpll_g3|fpll_g3|fpll_inst|hclk_out} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_pll.g_pll_g3n.lcpll_g3xn|lcpll_g3xn|a10_xcvr_atx_pll_inst|twentynm_hssi_pma_lc_refclk_select_mux_inst|lvpecl_in} -multiply_by 40 -duty_cycle 50.00 -name {board_inst|pcie|twentynm_atx_pll_inst~O_CLK0_8G} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_pll.g_pll_g3n.lcpll_g3xn|lcpll_g3xn|a10_xcvr_atx_pll_inst|twentynm_atx_pll_inst|clk0_8g} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[1]} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[1]|rx_pma_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pcs_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[1]|tx_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[1].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[0]} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[2]|rx_pma_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pcs_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[2]|tx_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[2].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[0]} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[3]|rx_pma_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pld_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|tx_clkout} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1_out} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pcs_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[3]|tx_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[3].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[0]} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[4]|rx_pma_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pcs_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[4]|tx_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[4].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[11]} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[5]|rx_pma_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pcs_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[5]|tx_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[5].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[11]} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[6]|rx_pma_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pcs_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[6]|tx_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[6].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_cdr_refclk_select_mux.inst_twentynm_hssi_pma_cdr_refclk_select_mux|ref_iqclk[11]} -multiply_by 5 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[7]|rx_pma_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pma|gen_twentynm_hssi_pma_rx_deser.inst_twentynm_hssi_pma_rx_deser|clkdiv} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|byte_serializer_pcs_clk_div_by_4_reg} -divide_by 4 -duty_cycle 50.00 -name {board_inst|pcie|g_xcvr_native_insts[7]|tx_clk} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_xcvr.g_phy_g3x8.phy_g3x8|phy_g3x8|g_xcvr_native_insts[7].twentynm_xcvr_native_inst|twentynm_xcvr_native_inst|inst_twentynm_pcs|gen_twentynm_hssi_8g_tx_pcs.inst_twentynm_hssi_8g_tx_pcs|sta_tx_clk2_by4_1} Info (332110): create_generated_clock -source {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_pll.g_pll_g3n.lcpll_g3xn|lcpll_g3xn|a10_xcvr_atx_pll_inst|twentynm_hssi_pma_cgb_master_inst|clk_fpll_b} -divide_by 16 -duty_cycle 50.00 -name {board_inst|pcie|tx_bonding_clocks[0]} {board_inst|pcie|altpcie_a10_hip_pipen1b|g_xcvr.altpcie_a10_hip_pllnphy|g_pll.g_pll_g3n.lcpll_g3xn|lcpll_g3xn|a10_xcvr_atx_pll_inst|twentynm_hssi_pma_cgb_master_inst|cpulse_out_bus[0]} Info (332110): create_generated_clock -source {board_inst|config_iopll|altera_iopll_i|twentynm_pll|iopll_inst|refclk[0]} -divide_by 24 -multiply_by 6 -duty_cycle 50.00 -name {board_inst|config_iopll|outclk0} {board_inst|config_iopll|altera_iopll_i|twentynm_pll|iopll_inst|outclk[0]} Info (332110): create_generated_clock -source {board_inst|kernel_clk_gen|kernel_pll|altera_iopll_i|twentynm_pll|iopll_inst|refclk[0]} -divide_by 4 -multiply_by 6 -duty_cycle 50.00 -name {board_inst|kernel_clk_gen|kernel_pll|outclk0} {board_inst|kernel_clk_gen|kernel_pll|altera_iopll_i|twentynm_pll|iopll_inst|outclk[0]} Info (332110): create_generated_clock -source {board_inst|kernel_clk_gen|kernel_pll|altera_iopll_i|twentynm_pll|iopll_inst|refclk[0]} -divide_by 2 -multiply_by 6 -duty_cycle 50.00 -name {board_inst|kernel_clk_gen|kernel_pll|outclk1} {board_inst|kernel_clk_gen|kernel_pll|altera_iopll_i|twentynm_pll|iopll_inst|outclk[1]} Info (332110): create_generated_clock -source {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst|pll_cascade_in} -divide_by 2 -multiply_by 4 -phase 22.50 -duty_cycle 50.00 -name {board_inst|ddr3a|arch|arch_inst|pll_inst|phy_clk[0]} {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst|loaden[0]} Info (332110): create_generated_clock -source {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst|pll_cascade_in} -divide_by 4 -multiply_by 4 -phase 11.25 -duty_cycle 50.00 -name {board_inst|ddr3a|arch|arch_inst|pll_inst|phy_clk[1]} {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst|lvds_clk[0]} Info (332110): create_generated_clock -source {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst|pll_cascade_in} -divide_by 7 -multiply_by 4 -duty_cycle 50.00 -name {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_c_counters[3]} {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst|outclk[3]} Info (332110): create_generated_clock -source {board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst|pll_cascade_in} -divide_by 2 -multiply_by 4 -phase 22.50 -duty_cycle 50.00 -name {board_inst|ddr3b|arch|arch_inst|pll_inst|phy_clk[0]} {board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst|loaden[0]} Info (332110): create_generated_clock -source {board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst|pll_cascade_in} -divide_by 4 -multiply_by 4 -phase 11.25 -duty_cycle 50.00 -name {board_inst|ddr3b|arch|arch_inst|pll_inst|phy_clk[1]} {board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst|lvds_clk[0]} Info (332110): create_generated_clock -source {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst~_Duplicate|pll_cascade_in} -divide_by 2 -multiply_by 4 -phase 22.50 -duty_cycle 50.00 -name {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst~_DuplicateLOADEN0} {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst~_Duplicate|loaden[0]} Info (332110): create_generated_clock -source {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst~_Duplicate|pll_cascade_in} -divide_by 4 -multiply_by 4 -phase 11.25 -duty_cycle 50.00 -name {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst~_DuplicateLVDS_CLK0} {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst~_Duplicate|lvds_clk[0]} Info (332110): create_generated_clock -source {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1|pll_cascade_in} -divide_by 2 -multiply_by 4 -phase 22.50 -duty_cycle 50.00 -name {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1LOADEN0} {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1|loaden[0]} Info (332110): create_generated_clock -source {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1|pll_cascade_in} -divide_by 4 -multiply_by 4 -phase 11.25 -duty_cycle 50.00 -name {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1LVDS_CLK0} {board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1|lvds_clk[0]} Info (332110): create_generated_clock -source {board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst~_Duplicate|pll_cascade_in} -divide_by 2 -multiply_by 4 -phase 22.50 -duty_cycle 50.00 -name {board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst~_DuplicateLOADEN0} {board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst~_Duplicate|loaden[0]} Info (332110): create_generated_clock -source {board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst~_Duplicate|pll_cascade_in} -divide_by 4 -multiply_by 4 -phase 11.25 -duty_cycle 50.00 -name {board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst~_DuplicateLVDS_CLK0} {board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst~_Duplicate|lvds_clk[0]} Info (332110): create_generated_clock -source {board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1|pll_cascade_in} -divide_by 2 -multiply_by 4 -phase 22.50 -duty_cycle 50.00 -name {board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1LOADEN0} {board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1|loaden[0]} Info (332110): create_generated_clock -source {board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1|pll_cascade_in} -divide_by 4 -multiply_by 4 -phase 11.25 -duty_cycle 50.00 -name {board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1LVDS_CLK0} {board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1|lvds_clk[0]} Info (332151): Clock uncertainty is not calculated until you update the timing netlist. Warning (332174): Ignored filter at top_post.sdc(46): \ could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 46 Warning (332174): Ignored filter at top_post.sdc(46): acl_hmcc_wrapper_inst|* could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 46 Warning (332174): Ignored filter at top_post.sdc(50): ddr0_mem_dqs[0]_IN could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 50 Warning (332174): Ignored filter at top_post.sdc(50): ddr0_mem_dqs[1]_IN could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 50 Warning (332174): Ignored filter at top_post.sdc(50): ddr0_mem_dqs[2]_IN could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 50 Warning (332174): Ignored filter at top_post.sdc(50): ddr0_mem_dqs[3]_IN could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 50 Warning (332174): Ignored filter at top_post.sdc(50): ddr0_mem_dqs[4]_IN could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 50 Warning (332174): Ignored filter at top_post.sdc(50): ddr0_mem_dqs[5]_IN could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 50 Warning (332174): Ignored filter at top_post.sdc(50): ddr0_mem_dqs[6]_IN could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 50 Warning (332174): Ignored filter at top_post.sdc(50): ddr0_mem_dqs[7]_IN could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 50 Warning (332174): Ignored filter at top_post.sdc(60): ddr1_mem_dqs[0]_IN could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 60 Warning (332174): Ignored filter at top_post.sdc(60): ddr1_mem_dqs[1]_IN could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 60 Warning (332174): Ignored filter at top_post.sdc(60): ddr1_mem_dqs[2]_IN could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 60 Warning (332174): Ignored filter at top_post.sdc(60): ddr1_mem_dqs[3]_IN could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 60 Warning (332174): Ignored filter at top_post.sdc(60): ddr1_mem_dqs[4]_IN could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 60 Warning (332174): Ignored filter at top_post.sdc(60): ddr1_mem_dqs[5]_IN could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 60 Warning (332174): Ignored filter at top_post.sdc(60): ddr1_mem_dqs[6]_IN could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 60 Warning (332174): Ignored filter at top_post.sdc(60): ddr1_mem_dqs[7]_IN could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 60 Warning (332174): Ignored filter at top_post.sdc(28): a10_internal_oscillator_clock0 could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 28 Warning (332174): Ignored filter at top_post.sdc(28): altera_ts_clk could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 28 Warning (332174): Ignored filter at top_post.sdc(28): ddr1_pll_ref_clk could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 28 Warning (332174): Ignored filter at top_post.sdc(28): altera_reserved_tck could not be matched with a clock File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 28 Warning (332054): Assignment set_clock_groups is accepted but has some problems at top_post.sdc(28): Argument -group with value a10_internal_oscillator_clock0 could not match any element of the following types: ( clk ) File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 28 Info (332050): set_clock_groups -asynchronous \ -group { \ config_clk \ } -group { \ a10_internal_oscillator_clock0 \ } -group { \ altera_ts_clk \ } -group { \ ddr0_pll_ref_clk \ } -group { \ ddr1_pll_ref_clk \ } -group { \ kernel_pll_refclk \ } -group [get_clocks { pcie_refclk \ board_inst|pcie|* \ }] -group [get_clocks { \ board_inst|kernel_clk_gen|kernel_pll|* \ }] -group [get_clocks { \ acl_hmcc_wrapper_inst|* \ }] -group { \ altera_reserved_tck \ } -group [get_clocks { \ ddr0_mem_dqs[0]_IN \ ddr0_mem_dqs[1]_IN \ ddr0_mem_dqs[2]_IN \ ddr0_mem_dqs[3]_IN \ ddr0_mem_dqs[4]_IN \ ddr0_mem_dqs[5]_IN \ ddr0_mem_dqs[6]_IN \ ddr0_mem_dqs[7]_IN \ board_inst|ddr3a* \ }] -group [get_clocks { \ ddr1_mem_dqs[0]_IN \ ddr1_mem_dqs[1]_IN \ ddr1_mem_dqs[2]_IN \ ddr1_mem_dqs[3]_IN \ ddr1_mem_dqs[4]_IN \ ddr1_mem_dqs[5]_IN \ ddr1_mem_dqs[6]_IN \ ddr1_mem_dqs[7]_IN \ board_inst|ddr3b* \ }] File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 28 Warning (332054): Assignment set_clock_groups is accepted but has some problems at top_post.sdc(28): Argument -group with value altera_ts_clk could not match any element of the following types: ( clk ) File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 28 Warning (332054): Assignment set_clock_groups is accepted but has some problems at top_post.sdc(28): Argument -group with value ddr1_pll_ref_clk could not match any element of the following types: ( clk ) File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 28 Warning (332054): Assignment set_clock_groups is accepted but has some problems at top_post.sdc(28): Argument -group with value [get_clocks { acl_hmcc_wrapper_inst|* \ }] contains zero elements File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 28 Warning (332054): Assignment set_clock_groups is accepted but has some problems at top_post.sdc(28): Argument -group with value altera_reserved_tck could not match any element of the following types: ( clk ) File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 28 Warning (332174): Ignored filter at top_post.sdc(106): board_inst|version_id|* could not be matched with a keeper File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 106 Warning (332049): Ignored set_false_path at top_post.sdc(106): Argument is an empty collection File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 106 Info (332050): set_false_path -from [get_keepers {board_inst|version_id|*}] -to * File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 106 Warning (332174): Ignored filter at top_post.sdc(112): board_inst|clock_cross_pcie_to_ddr3a|* could not be matched with a keeper File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 112 Warning (332049): Ignored set_false_path at top_post.sdc(112): Argument is an empty collection File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 112 Info (332050): set_false_path -from [get_keepers {board_inst|clock_cross_pcie_to_ddr3a|*}] -to * File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 112 Warning (332174): Ignored filter at top_post.sdc(113): board_inst|clock_cross_pcie_to_ddr3b|* could not be matched with a keeper File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 113 Warning (332049): Ignored set_false_path at top_post.sdc(113): Argument is an empty collection File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 113 Info (332050): set_false_path -from [get_keepers {board_inst|clock_cross_pcie_to_ddr3b|*}] -to * File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 113 Warning (332174): Ignored filter at top_post.sdc(124): board_inst|pr_base_id|* could not be matched with a keeper File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 124 Warning (332049): Ignored set_false_path at top_post.sdc(124): Argument is an empty collection File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 124 Info (332050): set_false_path -from [get_keepers {board_inst|pr_base_id|*}] -to * File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 124 Warning (332174): Ignored filter at top_post.sdc(129): board_inst|flash_reset|* could not be matched with a keeper File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 129 Warning (332049): Ignored set_false_path at top_post.sdc(129): Argument is an empty collection File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 129 Info (332050): set_false_path -from [get_keepers {board_inst|flash_reset|*}] -to * File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 129 Warning (332174): Ignored filter at top_post.sdc(137): board_inst|clock_cross_ddr3a_dimm|* could not be matched with a keeper File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 137 Warning (332049): Ignored set_false_path at top_post.sdc(137): Argument is an empty collection File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 137 Info (332050): set_false_path -from [get_keepers {board_inst|clock_cross_ddr3a_dimm|*}] -to [get_keepers {board_inst|clock_cross_ddr3a_dimm|*}] File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 137 Warning (332049): Ignored set_false_path at top_post.sdc(137): Argument is an empty collection File: /var/scratch/avdploeg/TrickleSimple/top_post.sdc Line: 137 Warning (332060): Node: ddr0_mem_dqs[0] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|ddr3a|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[0].lane_gen[0].lane_inst~low_dff_a is being clocked by ddr0_mem_dqs[0] Warning (332060): Node: ddr0_mem_dqs[1] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|ddr3a|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[0].lane_gen[1].lane_inst~low_dff_a is being clocked by ddr0_mem_dqs[1] Warning (332060): Node: ddr0_mem_dqs[2] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|ddr3a|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[0].lane_gen[2].lane_inst~low_dff_a is being clocked by ddr0_mem_dqs[2] Warning (332060): Node: ddr0_mem_dqs[3] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|ddr3a|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[0].lane_gen[3].lane_inst~low_dff_a is being clocked by ddr0_mem_dqs[3] Warning (332060): Node: ddr0_mem_dqs[4] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|ddr3a|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[1].lane_gen[3].lane_inst~low_dff_a is being clocked by ddr0_mem_dqs[4] Warning (332060): Node: ddr0_mem_dqs[5] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|ddr3a|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[2].lane_gen[0].lane_inst~low_dff_a is being clocked by ddr0_mem_dqs[5] Warning (332060): Node: ddr0_mem_dqs[6] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|ddr3a|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[2].lane_gen[1].lane_inst~low_dff_a is being clocked by ddr0_mem_dqs[6] Warning (332060): Node: ddr0_mem_dqs[7] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|ddr3a|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[2].lane_gen[2].lane_inst~low_dff_a is being clocked by ddr0_mem_dqs[7] Warning (332060): Node: ddr1_mem_dqs[0] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|ddr3b|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[0].lane_gen[0].lane_inst~low_dff_a is being clocked by ddr1_mem_dqs[0] Warning (332060): Node: ddr1_mem_dqs[1] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|ddr3b|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[0].lane_gen[1].lane_inst~low_dff_a is being clocked by ddr1_mem_dqs[1] Warning (332060): Node: ddr1_mem_dqs[2] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|ddr3b|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[0].lane_gen[2].lane_inst~low_dff_a is being clocked by ddr1_mem_dqs[2] Warning (332060): Node: ddr1_mem_dqs[3] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|ddr3b|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[0].lane_gen[3].lane_inst~low_dff_a is being clocked by ddr1_mem_dqs[3] Warning (332060): Node: ddr1_mem_dqs[4] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|ddr3b|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[1].lane_gen[3].lane_inst~low_dff_a is being clocked by ddr1_mem_dqs[4] Warning (332060): Node: ddr1_mem_dqs[5] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|ddr3b|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[2].lane_gen[0].lane_inst~low_dff_a is being clocked by ddr1_mem_dqs[5] Warning (332060): Node: ddr1_mem_dqs[6] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|ddr3b|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[2].lane_gen[1].lane_inst~low_dff_a is being clocked by ddr1_mem_dqs[6] Warning (332060): Node: ddr1_mem_dqs[7] was determined to be a clock but was found without an associated clock assignment. Info (13166): Register board_inst|ddr3b|arch|arch_inst|io_tiles_wrap_inst|io_tiles_inst|tile_gen[2].lane_gen[2].lane_inst~low_dff_a is being clocked by ddr1_mem_dqs[7] Warning (332060): Node: board_inst|flash_remote_update|remote_update_core|state[1] was determined to be a clock but was found without an associated clock assignment. Info (13166): Latch board_inst|flash_remote_update|remote_update_core|ru_block_ctl[0] is being clocked by board_inst|flash_remote_update|remote_update_core|state[1] Warning (332158): Clock uncertainty characteristics of the Arria 10 device family are preliminary Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. Warning (332088): No paths exist between clock target "board_inst|pcie|altpcie_a10_hip_pipen1b|wys|core_clk_out" of clock "board_inst|pcie|wys~CORE_CLK_OUT" and its clock source. Assuming zero source clock latency. Info (332129): Detected timing requirements -- optimizing circuit to achieve only the specified requirements Info (332111): Found 76 clocks Info (332111): Period Clock Name Info (332111): ======== ============ Info (332111): 40.000 board_inst|config_iopll|outclk0 Info (332111): 1.875 board_inst|ddr3a|arch|arch_inst|pll_inst|phy_clk[0] Info (332111): 3.750 board_inst|ddr3a|arch|arch_inst|pll_inst|phy_clk[1] Info (332111): 6.562 board_inst|ddr3a|arch|arch_inst|pll_inst|pll_c_counters[3] Info (332111): 1.875 board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1LOADEN0 Info (332111): 3.750 board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1LVDS_CLK0 Info (332111): 1.875 board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst~_DuplicateLOADEN0 Info (332111): 3.750 board_inst|ddr3a|arch|arch_inst|pll_inst|pll_inst~_DuplicateLVDS_CLK0 Info (332111): 1.875 board_inst|ddr3b|arch|arch_inst|pll_inst|phy_clk[0] Info (332111): 3.750 board_inst|ddr3b|arch|arch_inst|pll_inst|phy_clk[1] Info (332111): 1.875 board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1LOADEN0 Info (332111): 3.750 board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst~_Duplicate_1LVDS_CLK0 Info (332111): 1.875 board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst~_DuplicateLOADEN0 Info (332111): 3.750 board_inst|ddr3b|arch|arch_inst|pll_inst|pll_inst~_DuplicateLVDS_CLK0 Info (332111): 2.500 board_inst|kernel_clk_gen|kernel_pll|outclk0 Info (332111): 1.250 board_inst|kernel_clk_gen|kernel_pll|outclk1 Info (332111): 4.000 board_inst|pcie|g_xcvr_native_insts[0]|pma_hclk_by2 Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[0]|rx_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[0]|rx_clkout Info (332111): 10.000 board_inst|pcie|g_xcvr_native_insts[0]|rx_fref Info (332111): 2.000 board_inst|pcie|g_xcvr_native_insts[0]|rx_pma_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[0]|tx_clk Info (332111): 4.000 board_inst|pcie|g_xcvr_native_insts[1]|pma_hclk_by2 Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[1]|rx_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[1]|rx_clkout Info (332111): 10.000 board_inst|pcie|g_xcvr_native_insts[1]|rx_fref Info (332111): 2.000 board_inst|pcie|g_xcvr_native_insts[1]|rx_pma_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[1]|tx_clk Info (332111): 4.000 board_inst|pcie|g_xcvr_native_insts[2]|pma_hclk_by2 Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[2]|rx_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[2]|rx_clkout Info (332111): 10.000 board_inst|pcie|g_xcvr_native_insts[2]|rx_fref Info (332111): 2.000 board_inst|pcie|g_xcvr_native_insts[2]|rx_pma_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[2]|tx_clk Info (332111): 4.000 board_inst|pcie|g_xcvr_native_insts[3]|pma_hclk_by2 Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[3]|rx_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[3]|rx_clkout Info (332111): 10.000 board_inst|pcie|g_xcvr_native_insts[3]|rx_fref Info (332111): 2.000 board_inst|pcie|g_xcvr_native_insts[3]|rx_pma_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[3]|tx_clk Info (332111): 4.000 board_inst|pcie|g_xcvr_native_insts[4]|pma_hclk_by2 Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[4]|rx_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[4]|rx_clkout Info (332111): 10.000 board_inst|pcie|g_xcvr_native_insts[4]|rx_fref Info (332111): 2.000 board_inst|pcie|g_xcvr_native_insts[4]|rx_pma_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[4]|tx_clk Info (332111): 4.000 board_inst|pcie|g_xcvr_native_insts[5]|pma_hclk_by2 Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[5]|rx_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[5]|rx_clkout Info (332111): 10.000 board_inst|pcie|g_xcvr_native_insts[5]|rx_fref Info (332111): 2.000 board_inst|pcie|g_xcvr_native_insts[5]|rx_pma_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[5]|tx_clk Info (332111): 4.000 board_inst|pcie|g_xcvr_native_insts[6]|pma_hclk_by2 Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[6]|rx_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[6]|rx_clkout Info (332111): 10.000 board_inst|pcie|g_xcvr_native_insts[6]|rx_fref Info (332111): 2.000 board_inst|pcie|g_xcvr_native_insts[6]|rx_pma_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[6]|tx_clk Info (332111): 4.000 board_inst|pcie|g_xcvr_native_insts[7]|pma_hclk_by2 Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[7]|rx_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[7]|rx_clkout Info (332111): 10.000 board_inst|pcie|g_xcvr_native_insts[7]|rx_fref Info (332111): 2.000 board_inst|pcie|g_xcvr_native_insts[7]|rx_pma_clk Info (332111): 25.600 board_inst|pcie|g_xcvr_native_insts[7]|tx_clk Info (332111): 2.000 board_inst|pcie|hip_cmn_clk[0] Info (332111): 4.000 board_inst|pcie|pld_clk Info (332111): 2.000 board_inst|pcie|pll_pcie_clk Info (332111): 0.250 board_inst|pcie|twentynm_atx_pll_inst~O_CLK0_8G Info (332111): 6.400 board_inst|pcie|tx_bonding_clocks[0] Info (332111): 25.600 board_inst|pcie|tx_clkout Info (332111): 0.400 board_inst|pcie|tx_serial_clk Info (332111): 4.000 board_inst|pcie|wys~CORE_CLK_OUT Info (332111): 10.000 config_clk Info (332111): 3.750 ddr0_pll_ref_clk Info (332111): 3.750 kernel_pll_refclk Info (332111): 10.000 pcie_refclk Warning (12620): Input port OE of I/O output buffer "ucd_ctrlstatus_ucd_gpio21~output" is not connected, but the atom is driving a bi-directional pin Info (176233): Starting register packing Info (176235): Finished register packing Extra Info (176219): No registers were packed into other blocks Warning (18576): The supply "VCCR_GXB" at left side of HSSI is limited to "1.03V", overriding some of the power assignments for the tiles. Only side-wide power settings are allowed. Warning (18576): The supply "VCCT_GXB" at left side of HSSI is limited to "1.03V", overriding some of the power assignments for the tiles. Only side-wide power settings are allowed. Info (12263): Fitter periphery placement operations ending: elapsed time is 00:13:39 Warning (18576): The supply "VCCR_GXB" at left side of HSSI is limited to "1.03V", overriding some of the power assignments for the tiles. Only side-wide power settings are allowed. Warning (18576): The supply "VCCT_GXB" at left side of HSSI is limited to "1.03V", overriding some of the power assignments for the tiles. Only side-wide power settings are allowed. Warning (335093): TimeQuest Timing Analyzer is analyzing 7 combinational loops as latches. For more details, run the Check Timing command in the TimeQuest Timing Analyzer or view the "User-Specified and Inferred Latches" table in the Analysis & Synthesis report. Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Warning (114001): Time value "266.66666666666667 MHz" truncated to "266.666666 MHz" Error (184036): Cannot place the following 18 DSP cells -- a legal placement which satisfies all the DSP requirements could not be found Info (184037): Node "freeze_wrapper_inst|kernel_system_inst|tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|fp_module_local_bb2_reduction_366_hfp_183_0_|mac_fp_wys_01" Info (184037): Node "freeze_wrapper_inst|kernel_system_inst|tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|fp_module_local_bb2_reduction_382_hfp_191_0_|mac_fp_wys_01" Info (184037): Node "freeze_wrapper_inst|kernel_system_inst|tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|fp_module_local_bb2_sub152_7_12_hfp_0_0_|mac_fp_wys_01" Info (184037): Node "freeze_wrapper_inst|kernel_system_inst|tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|fp_module_local_bb2_sub152_15_12_hfp_0_0_|mac_fp_wys_01" Info (184037): Node "freeze_wrapper_inst|kernel_system_inst|tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|fp_module_local_bb2_sub152_7_13_hfp_0_0_|mac_fp_wys_01" Info (184037): Node "freeze_wrapper_inst|kernel_system_inst|tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|fp_module_local_bb2_sub152_15_13_hfp_0_0_|mac_fp_wys_01" Info (184037): Node "freeze_wrapper_inst|kernel_system_inst|tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|fp_module_local_bb2_sub152_7_14_hfp_0_0_|mac_fp_wys_01" Info (184037): Node "freeze_wrapper_inst|kernel_system_inst|tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|fp_module_local_bb2_sub152_15_14_hfp_0_0_|mac_fp_wys_01" Info (184037): Node "freeze_wrapper_inst|kernel_system_inst|tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|fp_module_local_bb2_sub152_7_15_hfp_0_0_|mac_fp_wys_01" Info (184037): Node "freeze_wrapper_inst|kernel_system_inst|tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|fp_module_local_bb2_sub152_15_15_hfp_0_0_|mac_fp_wys_01" Info (184037): Node "freeze_wrapper_inst|kernel_system_inst|tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|fp_module_local_bb2_sub152_7_8_hfp_0_0_|mac_fp_wys_01" Info (184037): Node "freeze_wrapper_inst|kernel_system_inst|tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|fp_module_local_bb2_sub152_15_8_hfp_0_0_|mac_fp_wys_01" Info (184037): Node "freeze_wrapper_inst|kernel_system_inst|tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|fp_module_local_bb2_sub152_7_9_hfp_0_0_|mac_fp_wys_01" Info (184037): Node "freeze_wrapper_inst|kernel_system_inst|tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|fp_module_local_bb2_sub152_15_9_hfp_0_0_|mac_fp_wys_01" Info (184037): Node "freeze_wrapper_inst|kernel_system_inst|tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|fp_module_local_bb2_sub152_7_10_hfp_0_0_|mac_fp_wys_01" Info (184037): Node "freeze_wrapper_inst|kernel_system_inst|tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|fp_module_local_bb2_sub152_15_10_hfp_0_0_|mac_fp_wys_01" Info (184037): Node "freeze_wrapper_inst|kernel_system_inst|tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|fp_module_local_bb2_sub152_7_11_hfp_0_0_|mac_fp_wys_01" Info (184037): Node "freeze_wrapper_inst|kernel_system_inst|tricklesimple_system|Correlator|kernel|Correlator_function_inst0|Correlator_basic_block_2|fp_module_local_bb2_sub152_15_11_hfp_0_0_|mac_fp_wys_01" Info (11165): Fitter preparation operations ending: elapsed time is 00:15:12 Error: An error occurred during placement Info (144001): Generated suppressed messages file /var/scratch/avdploeg/TrickleSimple/top.fit.smsg Error: Quartus Prime Fitter was unsuccessful. 2 errors, 98 warnings Error: Peak virtual memory: 20256 megabytes Error: Processing ended: Mon May 1 13:25:07 2017 Error: Elapsed time: 00:17:35 Error: Total CPU time (on all processors): 00:31:57 Info: ******************************************************************* Info: Running Quartus Prime Compiler Database Interface Info: Version 16.0.0 Build 211 04/27/2016 SJ Pro Edition Info: Copyright (C) 1991-2016 Altera Corporation. All rights reserved. Info: Your use of Altera Corporation's design tools, logic functions Info: and other software and tools, and its AMPP partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Altera Program License Info: Subscription Agreement, the Altera Quartus Prime License Agreement, Info: the Altera MegaCore Function License Agreement, or other Info: applicable license agreement, including, without limitation, Info: that your use is for the sole purpose of programming logic Info: devices manufactured by Altera and sold by Altera or its Info: authorized distributors. Please refer to the applicable Info: agreement for further details. Info: Processing started: Mon May 1 12:02:23 2017 Info: Command: quartus_cdb -t import_compile.tcl Info: Using INI file /var/scratch/avdploeg/TrickleSimple/quartus.ini Info (125061): Changed top-level design entity name to "top" Info (125061): Changed top-level design entity name to "kernel_system" Warning (125092): Tcl Script File board/board.qip not found Info (125063): set_global_assignment -name QIP_FILE board/board.qip Info (16677): Loading synthesized database Info (16734): Loading "synthesized" snapshot for partition "|". Info (16678): Successfully loaded synthesized database: elapsed time is 00:00:14 Info (13213): Using common directory /var/scratch/avdploeg/TrickleSimple/.tmp.top_synth/ Warning (125092): Tcl Script File board/board.qip not found Info (125063): set_global_assignment -name QIP_FILE board/board.qip Error (23031): Evaluation of Tcl script import_compile.tcl unsuccessful Error: Quartus Prime Compiler Database Interface was unsuccessful. 1 error, 2 warnings Error: Peak virtual memory: 2248 megabytes Error: Processing ended: Mon May 1 13:25:11 2017 Error: Elapsed time: 01:22:48 Error: Total CPU time (on all processors): 02:49:02