[kalinskyr@rkalinskydev questa_bug_all]$ which vsim /opt/altera/pro/22.2/questa_fse/linux_x86_64/vsim [kalinskyr@rkalinskydev questa_bug_all]$ ./run.sh # vsim -batch -quiet -nolog -t 1ps work.count8_tb -do " run 100 ns; exit;" # Start time: 13:22:08 on Jan 17,2024 # ** Note: (vsim-3812) Design is being optimized... # // Questa Intel Starter FPGA Edition-64 # // Version 2022.1 linux_x86_64 Jan 29 2022 # // # // Copyright 1991-2022 Mentor Graphics Corporation # // All Rights Reserved. # // # // QuestaSim and its associated documentation contain trade # // secrets and commercial or financial information that are the property of # // Mentor Graphics Corporation and are privileged, confidential, # // and exempt from disclosure under the Freedom of Information Act, # // 5 U.S.C. Section 552. Furthermore, this information # // is prohibited from disclosure under the Trade Secrets Act, # // 18 U.S.C. Section 1905. # // # # run 100 ns # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ps Iteration: 0 Instance: /count8_tb # ** Note: TP1: dout=0 # Time: 0 ps Iteration: 0 Instance: /count8_tb # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 10 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=0 # Time: 10 ns Iteration: 0 Instance: /count8_tb # ** Note: TP1: dout=1 # Time: 15 ns Iteration: 2 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 20 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 30 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 40 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 50 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 60 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 70 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 80 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 90 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 100 ns Iteration: 0 Instance: /count8_tb # exit # End time: 13:22:09 on Jan 17,2024, Elapsed time: 0:00:01 # Errors: 0, Warnings: 2 [kalinskyr@rkalinskydev questa_bug_all]$ [kalinskyr@rkalinskydev questa_bug_all]$ which vsim /opt/altera/pro/22.4/questa_fse/linux_x86_64/vsim [kalinskyr@rkalinskydev questa_bug_all]$ ./run.sh # vsim -batch -quiet -nolog -t 1ps work.count8_tb -do " run 100 ns; exit;" # Start time: 13:24:38 on Jan 17,2024 # ** Note: (vsim-3812) Design is being optimized... # // Questa Intel Starter FPGA Edition-64 # // Version 2022.1 linux_x86_64 Jan 29 2022 # // # // Copyright 1991-2022 Mentor Graphics Corporation # // All Rights Reserved. # // # // QuestaSim and its associated documentation contain trade # // secrets and commercial or financial information that are the property of # // Mentor Graphics Corporation and are privileged, confidential, # // and exempt from disclosure under the Freedom of Information Act, # // 5 U.S.C. Section 552. Furthermore, this information # // is prohibited from disclosure under the Trade Secrets Act, # // 18 U.S.C. Section 1905. # // # # run 100 ns # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ps Iteration: 0 Instance: /count8_tb # ** Note: TP1: dout=0 # Time: 0 ps Iteration: 0 Instance: /count8_tb # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 10 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=0 # Time: 10 ns Iteration: 0 Instance: /count8_tb # ** Note: TP1: dout=1 # Time: 15 ns Iteration: 2 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 20 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 30 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 40 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 50 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 60 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 70 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 80 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 90 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 100 ns Iteration: 0 Instance: /count8_tb # exit # End time: 13:24:38 on Jan 17,2024, Elapsed time: 0:00:00 # Errors: 0, Warnings: 2 [kalinskyr@rkalinskydev questa_bug_all]$ [kalinskyr@rkalinskydev questa_bug_all]$ which vsim /opt/altera/pro/23.1/questa_fse/linux_x86_64/vsim [kalinskyr@rkalinskydev questa_bug_all]$ ./run.sh # vsim -batch -quiet -nolog -t 1ps work.count8_tb -do " run 100 ns; exit;" # Start time: 13:26:06 on Jan 17,2024 # ** Note: (vsim-3812) Design is being optimized... # // Questa Intel Starter FPGA Edition-64 # // Version 2022.4 linux_x86_64 Oct 18 2022 # // # // Copyright 1991-2022 Mentor Graphics Corporation # // All Rights Reserved. # // # // QuestaSim and its associated documentation contain trade # // secrets and commercial or financial information that are the property of # // Mentor Graphics Corporation and are privileged, confidential, # // and exempt from disclosure under the Freedom of Information Act, # // 5 U.S.C. Section 552. Furthermore, this information # // is prohibited from disclosure under the Trade Secrets Act, # // 18 U.S.C. Section 1905. # // # # run 100 ns # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ps Iteration: 0 Instance: /count8_tb # ** Note: TP1: dout=0 # Time: 0 ps Iteration: 0 Instance: /count8_tb # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 10 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=0 # Time: 10 ns Iteration: 0 Instance: /count8_tb # ** Note: TP1: dout=1 # Time: 15 ns Iteration: 2 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 20 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 30 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 40 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 50 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 60 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 70 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 80 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 90 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 100 ns Iteration: 0 Instance: /count8_tb # exit # End time: 13:26:06 on Jan 17,2024, Elapsed time: 0:00:00 # Errors: 0, Warnings: 2 [kalinskyr@rkalinskydev questa_bug_all]$ [kalinskyr@rkalinskydev questa_bug_all]$ which vsim /opt/altera/pro/23.2/questa_fse/linux_x86_64/vsim [kalinskyr@rkalinskydev questa_bug_all]$ ./run.sh # vsim -batch -quiet -nolog -t 1ps work.count8_tb -do " run 100 ns; exit;" # Start time: 13:27:31 on Jan 17,2024 # ** Note: (vsim-3812) Design is being optimized... # // Questa Intel Starter FPGA Edition-64 # // Version 2023.1 linux_x86_64 Jan 23 2023 # // # // Copyright 1991-2023 Mentor Graphics Corporation # // All Rights Reserved. # // # // QuestaSim and its associated documentation contain trade # // secrets and commercial or financial information that are the property of # // Mentor Graphics Corporation and are privileged, confidential, # // and exempt from disclosure under the Freedom of Information Act, # // 5 U.S.C. Section 552. Furthermore, this information # // is prohibited from disclosure under the Trade Secrets Act, # // 18 U.S.C. Section 1905. # // # # run 100 ns # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ps Iteration: 0 Instance: /count8_tb # ** Note: TP1: dout=0 # Time: 0 ps Iteration: 0 Instance: /count8_tb # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 10 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=0 # Time: 10 ns Iteration: 0 Instance: /count8_tb # ** Note: TP1: dout=1 # Time: 15 ns Iteration: 2 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 20 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 30 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 40 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 50 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 60 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 70 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 80 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 90 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 100 ns Iteration: 0 Instance: /count8_tb # exit # End time: 13:27:31 on Jan 17,2024, Elapsed time: 0:00:00 # Errors: 0, Warnings: 2 [kalinskyr@rkalinskydev questa_bug_all]$ [kalinskyr@rkalinskydev questa_bug_all]$ which vsim /opt/altera/pro/23.3/questa_fse/linux_x86_64/vsim [kalinskyr@rkalinskydev questa_bug_all]$ ./run.sh # vsim -batch -quiet -nolog -t 1ps work.count8_tb -do " run 100 ns; exit;" # Start time: 13:29:12 on Jan 17,2024 # ** Note: (vsim-3812) Design is being optimized... # // Questa Intel Starter FPGA Edition-64 # // Version 2023.2 linux_x86_64 Apr 11 2023 # // # // Copyright 1991-2023 Mentor Graphics Corporation # // All Rights Reserved. # // # // QuestaSim and its associated documentation contain trade # // secrets and commercial or financial information that are the property of # // Mentor Graphics Corporation and are privileged, confidential, # // and exempt from disclosure under the Freedom of Information Act, # // 5 U.S.C. Section 552. Furthermore, this information # // is prohibited from disclosure under the Trade Secrets Act, # // 18 U.S.C. Section 1905. # // # # run 100 ns # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ps Iteration: 0 Instance: /count8_tb # ** Note: TP1: dout=0 # Time: 0 ps Iteration: 0 Instance: /count8_tb # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 10 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=0 # Time: 10 ns Iteration: 0 Instance: /count8_tb # ** Note: TP1: dout=1 # Time: 15 ns Iteration: 2 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 20 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 30 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 40 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 50 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 60 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 70 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 80 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 90 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 100 ns Iteration: 0 Instance: /count8_tb # exit # End time: 13:29:12 on Jan 17,2024, Elapsed time: 0:00:00 # Errors: 0, Warnings: 2 [kalinskyr@rkalinskydev questa_bug_all]$ [kalinskyr@rkalinskydev questa_bug_all]$ which vsim /opt/altera/pro/23.4/questa_fse/linux_x86_64/vsim [kalinskyr@rkalinskydev questa_bug_all]$ ./run.sh # vsim -batch -quiet -nolog -t 1ps work.count8_tb -do " run 100 ns; exit;" # Start time: 13:30:18 on Jan 17,2024 # ** Note: (vsim-3812) Design is being optimized... # // Questa Intel Starter FPGA Edition-64 # // Version 2023.3 linux_x86_64 Jul 17 2023 # // # // Copyright 1991-2023 Mentor Graphics Corporation # // All Rights Reserved. # // # // QuestaSim and its associated documentation contain trade # // secrets and commercial or financial information that are the property of # // Mentor Graphics Corporation and are privileged, confidential, # // and exempt from disclosure under the Freedom of Information Act, # // 5 U.S.C. Section 552. Furthermore, this information # // is prohibited from disclosure under the Trade Secrets Act, # // 18 U.S.C. Section 1905. # // # # run 100 ns # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ps Iteration: 0 Instance: /count8_tb # ** Note: TP1: dout=0 # Time: 0 ps Iteration: 0 Instance: /count8_tb # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 10 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=0 # Time: 10 ns Iteration: 0 Instance: /count8_tb # ** Note: TP1: dout=1 # Time: 15 ns Iteration: 2 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 20 ns Iteration: 0 Instance: /count8_tb # ** Note: TP1: dout=2 # Time: 25 ns Iteration: 2 Instance: /count8_tb # ** Note: TP2: dout=2 # Time: 30 ns Iteration: 0 Instance: /count8_tb # ** Note: TP1: dout=3 # Time: 35 ns Iteration: 2 Instance: /count8_tb # ** Note: TP2: dout=3 # Time: 40 ns Iteration: 0 Instance: /count8_tb # ** Note: TP1: dout=4 # Time: 45 ns Iteration: 2 Instance: /count8_tb # ** Note: TP2: dout=4 # Time: 50 ns Iteration: 0 Instance: /count8_tb # ** Note: TP1: dout=5 # Time: 55 ns Iteration: 2 Instance: /count8_tb # ** Note: TP2: dout=5 # Time: 60 ns Iteration: 0 Instance: /count8_tb # ** Note: TP1: dout=6 # Time: 65 ns Iteration: 2 Instance: /count8_tb # ** Note: TP2: dout=6 # Time: 70 ns Iteration: 0 Instance: /count8_tb # ** Note: TP1: dout=7 # Time: 75 ns Iteration: 2 Instance: /count8_tb # ** Note: TP2: dout=7 # Time: 80 ns Iteration: 0 Instance: /count8_tb # ** Note: TP1: dout=8 # Time: 85 ns Iteration: 2 Instance: /count8_tb # ** Note: TP2: dout=8 # Time: 90 ns Iteration: 0 Instance: /count8_tb # ** Note: TP1: dout=9 # Time: 95 ns Iteration: 2 Instance: /count8_tb # ** Note: TP2: dout=9 # Time: 100 ns Iteration: 0 Instance: /count8_tb # exit # End time: 13:30:19 on Jan 17,2024, Elapsed time: 0:00:01 # Errors: 0, Warnings: 2 [kalinskyr@rkalinskydev questa_bug_all]$ [kalinskyr@rkalinskydev questa_bug_all]$ which vsim /opt/mentor/modelsim_de/2022.4/modelsim_dlx/linuxpe/vsim [kalinskyr@rkalinskydev questa_bug_all]$ ./run.sh # vsim -batch -quiet -nolog -t 1ps work.count8_tb -do " run 100 ns; exit;" # Start time: 13:31:42 on Jan 17,2024 # // ModelSim DE 2022.4 Oct 18 2022 Linux 4.18.0-513.9.1.el8_9.x86_64 # // # // Copyright 1991-2022 Mentor Graphics Corporation # // All Rights Reserved. # // # // ModelSim DE and its associated documentation contain trade # // secrets and commercial or financial information that are the property of # // Mentor Graphics Corporation and are privileged, confidential, # // and exempt from disclosure under the Freedom of Information Act, # // 5 U.S.C. Section 552. Furthermore, this information # // is prohibited from disclosure under the Trade Secrets Act, # // 18 U.S.C. Section 1905. # // # # run 100 ns # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 0 ps Iteration: 0 Instance: /count8_tb # ** Note: TP1: dout=0 # Time: 0 ps Iteration: 0 Instance: /count8_tb # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 # Time: 10 ns Iteration: 0 Instance: /count8_tb # ** Note: TP2: dout=0 # Time: 10 ns Iteration: 0 Instance: /count8_tb # ** Note: TP1: dout=1 # Time: 15 ns Iteration: 2 Instance: /count8_tb # ** Note: TP2: dout=1 # Time: 20 ns Iteration: 0 Instance: /count8_tb # ** Note: TP1: dout=2 # Time: 25 ns Iteration: 2 Instance: /count8_tb # ** Note: TP2: dout=2 # Time: 30 ns Iteration: 0 Instance: /count8_tb # ** Note: TP1: dout=3 # Time: 35 ns Iteration: 2 Instance: /count8_tb # ** Note: TP2: dout=3 # Time: 40 ns Iteration: 0 Instance: /count8_tb # ** Note: TP1: dout=4 # Time: 45 ns Iteration: 2 Instance: /count8_tb # ** Note: TP2: dout=4 # Time: 50 ns Iteration: 0 Instance: /count8_tb # ** Note: TP1: dout=5 # Time: 55 ns Iteration: 2 Instance: /count8_tb # ** Note: TP2: dout=5 # Time: 60 ns Iteration: 0 Instance: /count8_tb # ** Note: TP1: dout=6 # Time: 65 ns Iteration: 2 Instance: /count8_tb # ** Note: TP2: dout=6 # Time: 70 ns Iteration: 0 Instance: /count8_tb # ** Note: TP1: dout=7 # Time: 75 ns Iteration: 2 Instance: /count8_tb # ** Note: TP2: dout=7 # Time: 80 ns Iteration: 0 Instance: /count8_tb # ** Note: TP1: dout=8 # Time: 85 ns Iteration: 2 Instance: /count8_tb # ** Note: TP2: dout=8 # Time: 90 ns Iteration: 0 Instance: /count8_tb # ** Note: TP1: dout=9 # Time: 95 ns Iteration: 2 Instance: /count8_tb # ** Note: TP2: dout=9 # Time: 100 ns Iteration: 0 Instance: /count8_tb # exit # End time: 13:31:43 on Jan 17,2024, Elapsed time: 0:00:01 # Errors: 0, Warnings: 2 [kalinskyr@rkalinskydev questa_bug_all]$ [kalinskyr@rkalinskydev questa_bug_all]$ ghdl --version GHDL 4.0.0-dev (tarball) [Dunoon edition] Compiled with GNAT Version: Community 2021 (20210519-103) llvm 16.0.6 code generator Written by Tristan Gingold. Copyright (C) 2003 - 2023 Tristan Gingold. GHDL is free software, covered by the GNU General Public License. There is NO warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. [kalinskyr@rkalinskydev questa_bug_all]$ ./run_ghdl.sh ../../src/ieee/v93/numeric_std-body.vhdl:2098:7:@0ms:(assertion warning): NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 ./count8_tb.vhd:41:5:@0ms:(assertion note): TP1: dout=0 ../../src/ieee/v93/numeric_std-body.vhdl:2098:7:@10ns:(assertion warning): NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 ./count8_tb.vhd:51:7:@10ns:(assertion note): TP2: dout=0 ./count8_tb.vhd:41:5:@15ns:(assertion note): TP1: dout=1 ./count8_tb.vhd:51:7:@20ns:(assertion note): TP2: dout=1 ./count8_tb.vhd:41:5:@25ns:(assertion note): TP1: dout=2 ./count8_tb.vhd:51:7:@30ns:(assertion note): TP2: dout=2 ./count8_tb.vhd:41:5:@35ns:(assertion note): TP1: dout=3 ./count8_tb.vhd:51:7:@40ns:(assertion note): TP2: dout=3 ./count8_tb.vhd:41:5:@45ns:(assertion note): TP1: dout=4 ./count8_tb.vhd:51:7:@50ns:(assertion note): TP2: dout=4 ./count8_tb.vhd:41:5:@55ns:(assertion note): TP1: dout=5 ./count8_tb.vhd:51:7:@60ns:(assertion note): TP2: dout=5 ./count8_tb.vhd:41:5:@65ns:(assertion note): TP1: dout=6 ./count8_tb.vhd:51:7:@70ns:(assertion note): TP2: dout=6 ./count8_tb.vhd:41:5:@75ns:(assertion note): TP1: dout=7 ./count8_tb.vhd:51:7:@80ns:(assertion note): TP2: dout=7 ./count8_tb.vhd:41:5:@85ns:(assertion note): TP1: dout=8 ./count8_tb.vhd:51:7:@90ns:(assertion note): TP2: dout=8 ./count8_tb.vhd:41:5:@95ns:(assertion note): TP1: dout=9 ./count8_tb.vhd:51:7:@100ns:(assertion note): TP2: dout=9 ghdl_bin/count8_tb:info: simulation stopped by --stop-time @100ns [kalinskyr@rkalinskydev questa_bug_all]$