FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6376 Discussions

Clocking Cyclone V Native PHY IP using Altera fractional PLL IP (LVDS Mode)

Hamathon
Beginner
255 Views

I'm trying to use the altera pll IP to clock (100mhz, lvds mode) the tx and rx cdr ref clock of the native phy IP. However, I'm receiving error messages during fitting saying that Quartus cannot find an available fpll location. This is for a board using Cyclone V SX C2 model without an external crystal oscillator driving the transceiver bank. I tried using the ALTCTRL IP to set the PLL clock as a global clock based on another message board post but it did not work.

 

Prior to this, I used this exact same Native Phy verilog code (xcvr, reconfig, reset) for an Intel C5 SX Dev Kit. I was able to clock the IP using the 100mhz hardware oscillator on that board and have the IP working correctly.

 

Is there something I'm missing, configuration or otherwise, when I switch from using a hardware crystal oscillator to using the alterall pll? I saw from previous posts that there used to be a Cyclone V fpll example for native phy IP, but I can't seem to find it anymore as the wiki links are broken. 

 

Looking for advice and/or access to the example fpll native PHY IP. Thanks.

0 Kudos
4 Replies
Kshitij_Intel
Employee
149 Views

Hi,


You can use the below links and download the example design.


Also, can you please share the broken links.


Thank you,

Kshitij Goel


0 Kudos
Hamathon
Beginner
124 Views

Hi, I don't see any links? 

0 Kudos
Kshitij_Intel
Employee
83 Views

Hi,


Sorry, forgot to paste the link. Please refer below link.


Cyclone10 Transceiver PHY Basic Design Examples - Intel Community


Hope this helps.


Thank you,

Kshitij Goel


0 Kudos
Kshitij_Intel
Employee
52 Views

Hi,


As we do not receive any response from you on the previous answer that we have provided. Please login to ‘https://supporttickets.intel.com’, view details of the desire request, and post a response within the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you on your follow-up questions.

 

Thank you,

Kshitij Goel


0 Kudos
Reply