FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5984 Discussions

Error: (vcom-11) while running RTL simulation in Modelsim INTEL FPGA STARTER EDITION

sssalvi
Beginner
772 Views

Hi, I have been working on MAX10M50 Development board. I am trying to write code for SPI with CS. I ahve created source and sim files. All complie correctly in quartus prime software. While running RTL simulation in modelsim it shows following error.

# -- Compiling architecture TB of SPI_16bit_CS_TB
# ** Error: (vcom-11) Could not find work.spi_16bit_cs.
# ** Error (suppressible): C:/Users/salvi/Desktop/FPGA/VHDL Codes/SPI_16_CS_28_06/SPI_16bit_CS_TB.vhd(55): (vcom-1195) Cannot find expanded name "work.SPI_16bit_CS".
# ** Error: C:/Users/salvi/Desktop/FPGA/VHDL Codes/SPI_16_CS_28_06/SPI_16bit_CS_TB.vhd(55): Unknown expanded name.
# ** Note: C:/Users/salvi/Desktop/FPGA/VHDL Codes/SPI_16_CS_28_06/SPI_16bit_CS_TB.vhd(96): VHDL Compiler exiting
# End time: 13:17:38 on Jun 28,2022, Elapsed time: 0:00:00
# Errors: 3, Warnings: 0
# ** Error: C:/intelFPGA/20.1/modelsim_ase/win32aloem/vcom failed.
# Error in macro ./SPI_16bit_run_msim_rtl_vhdl.do line 10
# C:/intelFPGA/20.1/modelsim_ase/win32aloem/vcom failed.
# while executing

 I am attaching 3 vhdl code files. 

Please tell me how to overcome this error.

0 Kudos
4 Replies
sstrell
Honored Contributor III
756 Views

Without even looking at your code, you have a space in your path ("VHDL Codes").  Modelsim doesn't like spaces in paths.  Try removing the space.

Also, when you instantiate something, you should not have "work." in front of it.  Remove that on line 55 of the testbench.

0 Kudos
ShengN_Intel
Employee
739 Views

Hi,


I try to compile those files attached directly in modelsim starter edition and get library not found error in SPI_16bit_CS.vhd

** Error: .../SPI_16bit_CS.vhd(36): (vcom-1598) Library "altera_syn_attributes" not found.

Which further triggers the error in SPI_16bit_CS_TB.vhd compilation

** Error: (vcom-11) Could not find work.spi_16bit_cs.

The simulation can run properly after commenting out the library. Make sure the proper library is existing.


Best Regards,

Sheng

p/s: If any answer from community or Intel support are helpful, please feel free to mark as solution and give Kudos.


0 Kudos
ShengN_Intel
Employee
713 Views

Hi,


Any further update?

Have your problem being resolved?

Thank you.


Regards,

Sheng


0 Kudos
sssalvi
Beginner
703 Views

issue resolved , thank you

 

0 Kudos
Reply