FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5936 Discussions

FPGA interfacing with ADA_THDB

Altera_Forum
Honored Contributor II
915 Views

Hello, 

 

Iam trying to interface the ADA board with my cyclone 3 starter kit. I want to view my led output on the oscilloscope. So i have identified the HSMC connections . I can track the signals on my ADA board at the D/A chip pins using my multimeter but the chip output IOUT A does not show any variation. It is stable at a particular voltage. 

 

this is my code entity: and its corresponding assignments: 

 

 

Clk : in std_logic; 

--led_cathode : out std_logic; 

 

--hsmclk_ou std_logic; 

--da_mode,da_wrta,da_da7ut std_logic; 

DAC_MODEut std_logic; 

DAC_CLK_A,DAC_CLK_But std_logic; 

DAC_WRT_A,DAC_WRT_But std_logic; 

ouut std_logic; 

k: out std_logic_vector(1 to 4); 

OSC_SMA_ADC4:in std_logic ;  

SMA_DAC4:in std_logic ;  

poweron: out std_logic  

 

 

architecture ar of testing is  

constant max_count : natural := 40; 

constant m_count : natural := 50000000 ; 

signal Rst_n : std_logic; 

signal q:std_logic_vector(1 to 4):="0001"; 

signal led_anode:std_logic; 

signal clk_1,clk_2:std_logic:='0'; 

 

begin 

-- my dac configurations, now ill show u the pin assignments 

DAC_CLK_A <=clk_2; 

DAC_CLK_B<=clk_2; 

DAC_MODE<='1';-- dual mode 

DAC_WRT_A<=clk_1; 

DAC_WRT_B<=clk_1; 

poweron<='0'; 

 

 

 

Thanks in advance!
0 Kudos
0 Replies
Reply