FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5935 Discussions

Quartus Simulator not responding

waleedd
Beginner
451 Views

I get stuck with the following small pop up message every time i click "run functional simulation"

1.PNG

Labels (1)
0 Kudos
3 Replies
sstrell
Honored Contributor III
399 Views

I'm always surprised that people are still using the Quartus simulator instead of Questa or even ModelSim, since it was phased out years ago.  What version of Quartus is this?

That being said, right off the bat, I'd say you need to get rid of all those spaces in your paths.  Modelsim for sure will not work correctly with spaces in any path names.

0 Kudos
RichardTanSY_Intel
362 Views

Do you able to solve the issue by removing the empty space in the path?

Is there any error message in the pop up window?


Regards,

Richard Tan


0 Kudos
RichardTanSY_Intel
256 Views

We noticed that we haven't received a response from you regarding the latest previous question/reply/answer, and will now transitioning your inquiry to our community support. We apologize for any inconvenience this may cause and we appreciate your understanding.
If you have any further questions or concerns, please don't hesitate to let us know.
Thank you for reaching out to us!

Best Regards,
Richard Tan

0 Kudos
Reply