Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16592 Discussions

Can't launch the Modelsim-Altera Software

Altera_Forum
Honored Contributor II
74,986 Views

Hi, 

 

My OS is Windows 8 64 bit. I'm using Quartus II 13.1 Web Edition, and Modelsim 10.1d. 

 

My path in EDA Tools is set to "C:\altera\13.1\modelsim_ase\win32aloem", when I go Tools > Run Similation Tool > RTL Simulation; I get this Nativelink Error: 

 

Can't launch the ModelSim-Altera software -- the path to the location of the executables for the ModelSim-Altera software were not specified or the executables were not found at specified path... 

 

I can manually start it by the way. 

 

Thank you 

Baris Yakut
0 Kudos
27 Replies
Altera_Forum
Honored Contributor II
44,234 Views

 

--- Quote Start ---  

OK, this is a link to the licensed Modelsim-Altera. But what's the path to the ModelSim starter Edition? 

--- Quote End ---  

 

 

"modelsim_ase" is Model Sim Altera Starter Edition. 

 

"C:\altera\13.1\modelsim_ase\win32aloem\" is the correctly location for the Starter Edition. 

 

(and another +1 like to taquionbcn for posting the solution)
0 Kudos
Altera_Forum
Honored Contributor II
43,556 Views

Thanks!!!!

0 Kudos
Altera_Forum
Honored Contributor II
29,088 Views

thanks, it works!!

0 Kudos
KHill
Beginner
1,557 Views

Okay, so far, in the Quartus window I'm selecting:

Assignments > Settings

Then in the pop-up window, the following category:

EDA Tool Settings > Simulation

 

Help, I don't see any place to insert a path to the simulator executable... The output directory is given though.

 

0 Kudos
SSHRE2
Beginner
1,557 Views

Hi,

 

You are looking into wrong path.

You should go to Tools> Options>EDA Tool Options

And then insert the valid path.

 

Thanks

Sumeet S.

0 Kudos
bl6
Beginner
1,356 Views

May I know why my waveform unable to run? I changed the path to C:\altera\13.1\modelsim_ase\win32aloem\. 

My version is ModelSim Starter Edition 13.1

Screenshot 2021-06-11 225451.jpg

0 Kudos
Nandu
Beginner
774 Views
I am getting the same as " Can't launch modelsim altera simulation software --make sure the software is properly installed and the environment variable LM_LICENSE FILE or MGLS_LICENSE FILE points to correct lincense file.. Check the NativeLink log file", in Ubuntu 22.04,please guide me to solve this
0 Kudos
Reply