Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16613 Discussions

Can't start RTL simulation

Fenris81
Beginner
642 Views

Hi,

I'm using Quartus Prime Lite Edition 23.1, and I can't start RTL simulation.

When I click Tools->Run Simulation Tool->RTL simulation, all i get are those two messages, but nothing happens. I tried reinstalling Questa, but it didn't help.

 

image.pngimage.pngimage.png

 

Labels (1)
0 Kudos
7 Replies
RichardTanSY_Intel
579 Views

Which OS are you using? Are you able to run the Questa software standalone?

Try below troubleshooting steps. 
1. Run Quartus as administrator.
2. Try disabling any antivirus or firewall software temporarily, as they may be interfering with Quartus.
3. Install currprocess https://www.nirsoft.net/utils/cprocess.html and disable all the software running behind there
4. Try restarting Quartus and your computer. This can help clear any temporary issues that may be causing the error.
5. Check your system resources, including memory, CPU usage, and disk space. Make sure you have enough available resources to run Quartus.

 

Regards,

Richard Tan

 

0 Kudos
bdilb
Beginner
561 Views

Similar problem here:

OS Name: Microsoft Windows 10 Business

Version: 10.0.19045 Build 19045

I have acquired a 90-day free trial license for Quartus Prime Standard (because I need VHDL 2008) and downloaded and installed version 23.1.  When I

  1) run Questa Intel FPGA Edition 2023.3 (Quartus Prime Pro 23.1std) from the Windows button prompt,

  2) run Questa Intel FPGA Starter Edition 2023.3 (Quartus Prime Pro 23.1std) from the Windows button prompt, or

  3) run Tools->Run simulation tool->RTL simulation from Quartus Prime Standard Edition,

I see the following popup:

bdilb_0-1711990798015.png

Both QuestaSim versions are installed.  In addition, alternative 3 above prints the following to the system window:

Info (22036): Successfully launched NativeLink simulation (quartus_sh -t "c:/intelfpga_lite/23.1std/quartus/common/tcl/internal/nativelink/qnativesim.tcl" --rtl_sim "cpuctl" "top")
Info (22036): For messages from NativeLink execution see the NativeLink log file C:/Development/sts_node/Source/cpuctlAlt/cpuctl_nativelink_simulation.rpt

The suggested log file does not exist, but there is a file C:/Development/sts_node/Source/cpuctlAlt/top_nativelink_simulation.rpt does, and it contains the following:

Info: Start Nativelink Simulation process
Info: NativeLink has detected VHDL design -- VHDL simulation models will be used

========= EDA Simulation Settings =====================

Sim Mode : RTL
Family : max10
Quartus root : c:/intelfpga_lite/23.1std/quartus/bin64/
Quartus sim root : c:/intelfpga_lite/23.1std/quartus/eda/sim_lib
Simulation Tool : questa intel fpga
Simulation Language : vhdl
Version : 93
Simulation Mode : GUI
Sim Output File :
Sim SDF file :
Sim dir : simulation\questa

=======================================================

Info: Starting NativeLink simulation with Questa Intel FPGA software
Sourced NativeLink script c:/intelfpga_lite/23.1std/quartus/common/tcl/internal/nativelink/modelsim.tcl
Warning: File top_run_msim_rtl_vhdl.do already exists - backing up current file as top_run_msim_rtl_vhdl.do.bak1
Info: Spawning Questa Intel FPGA Simulation software
Info: NativeLink simulation flow was successful

We have purchased Quartus Prime Standard through DigiKey.  When Intel responds with our license, we will see if things work better.  It looks like this is a common problem.

 

0 Kudos
bdilb
Beginner
556 Views

The license file generated at the Intel Self-Service Licensing Center contains the following:

################################################################################
# FEATURE START
# The following is the license file for Questa*-Intel FPGA Edition - Questa Plus
500 Unable to generate license.
# FEATURE END
################################################################################

It appears that no license has been issued from the SSLC.  What do I need to do to make this happen?

0 Kudos
bdilb
Beginner
553 Views

I requested a Questa Intel FPGA Starter Edition, and I received two license file emails.  The first one appeared to be an update of my original license file, this time with a valid FEATURE section for Questa Intel FPGA Edition.  Pasting that feature into my original license file made the QuestaSim window appear.  The problem is now fixed for me.

0 Kudos
RichardTanSY_Intel
490 Views

I remember one time there was an issue with the SSLC causing the license generated to be unusable for Questa, like what happen in your case.
All in all, I'm glad to know the issue has been resolved.

Regards,
Richard Tan

0 Kudos
RichardTanSY_Intel
490 Views

Hi Fenris81


Any update in regards to this case?


Regards,

Richard Tan


0 Kudos
RichardTanSY_Intel
442 Views

We noticed that we haven't received a response from you regarding the latest previous question/reply/answer, and will now transitioning your inquiry to our community support. We apologize for any inconvenience this may cause and we appreciate your understanding.

If you have any further questions or concerns, please don't hesitate to let us know. 

Thank you for reaching out to us!


Best Regards,

Richard Tan


0 Kudos
Reply