Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16628 Discussions

Cannot create component from template interface in Platform Designer

IKate
Beginner
746 Views

Hi!

i try to create a component into Platform designer which includes an interface in system Verilog.

I get the error "Error: Verilog HDL or VHDL XML Interface error at apescore.sv(15): port "i" has an unsupported type File: d:/.....sv Line: 15"

 

Synthesis works fine.

 

in order to reproduce the issue you can try the template by:

right click on empty .sv->insertTemplate->Contruct->DesignUnit->Interfaces->masterSlaveExample

This template has an issue with the generic interface, but once you fix that, it gives the same error when you try to create a component.

 

What is unsupported? Is there a workaround?

 

thanks

Ioannis

Labels (1)
0 Kudos
1 Solution
RichardTanSY_Intel
692 Views

Quartus Standard has limited support in SystemVerilog and you can check the Quartus Prime Standard Edition Help version > Quartus Prime Support for SystemVerilog, for the list of supported constructs.

Do note that you need to install the Intel® Quartus® Prime Help to access it.

KDB Link: https://www.intel.com/content/www/us/en/support/programmable/articles/000097917.html

systemverilog_Stdhelp.JPG

For Quartus Pro, you can check it online.

https://www.intel.com/content/www/us/en/programmable/quartushelp/current/index.htm#hdl/vlog/vlog_list_sys_vlog_d1627e1435.htm

 

Regards,

Richard Tan

 

View solution in original post

0 Kudos
6 Replies
RichardTanSY_Intel
714 Views

Which Quartus version are you using? Standard or Pro?


Regards,

Richard Tan


0 Kudos
IKate
Beginner
695 Views

Hi,

i  have access to both standard and Pro versions.

I see that in Pro it works.

Does this mean in Standard i can only do components with simple ports?

Is there a table describing all the features of Pro version that Standard does not provide?

 

best regards,

Ioannis

0 Kudos
RichardTanSY_Intel
693 Views

Quartus Standard has limited support in SystemVerilog and you can check the Quartus Prime Standard Edition Help version > Quartus Prime Support for SystemVerilog, for the list of supported constructs.

Do note that you need to install the Intel® Quartus® Prime Help to access it.

KDB Link: https://www.intel.com/content/www/us/en/support/programmable/articles/000097917.html

systemverilog_Stdhelp.JPG

For Quartus Pro, you can check it online.

https://www.intel.com/content/www/us/en/programmable/quartushelp/current/index.htm#hdl/vlog/vlog_list_sys_vlog_d1627e1435.htm

 

Regards,

Richard Tan

 

0 Kudos
RichardTanSY_Intel
657 Views

Dropping a note to ask if my last reply was helpful to you?

Do you need any further assistance from my side?


Regards,

Richard Tan


0 Kudos
IKate
Beginner
644 Views

HI Richard,

Yes, it was helpful, i do not need anything else.

Regards,

Ioannis

0 Kudos
RichardTanSY_Intel
632 Views

Thank you for acknowledging the solution provided. I'm pleased to know that your question has been addressed. 


Now, I will transition this thread to community support. If you have any further questions or concerns, please don't hesitate to reach out.

Thank you and have a great day!

 

Best Regards,

Richard Tan


0 Kudos
Reply