Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16642 Discussions

Constraint for phase-shifted external clock (Specifying Clock Waveform Edges)

HKana17
Novice
2,711 Views

Hi,

I have a project in which MAX10 has an external ADC that has LVDS connections-- data, ADC_DCO, ADC_FCO.

I wrote a constraint for external clocks like the next picture, but Timing Analyzer doesn't recognize the delayed rising edge of ADC_FCO to ADC_DCO.

Both the launch edge and the latch edge rise at the same time. Then Timing Analyzer reports a lack of setup time. Why Timing Analyzer doesn't recognize my phase-shift clock constraint?

 

14adc_dco_fco.png

My constraint:

create_clock -name ADC_DCO -period 14.286 -waveform {0 7.143} [get_ports {ADC_DCO ADC_DCO(n)}]
create_clock -name ADC_FCO -period 100 -waveform {3.571 53.571} [get_ports {ADC_FCO ADC_FCO(n)}]

 

Timing Analyzer read the constraint above.

clk_sumry.png

 

Timing Analyzer reported a setup error.

setup_err1.png

 

In the waveform view, the launch edge (ADC_DCO) and the latch edge (ADC_FCO) rise at the sate time. The Setup Relationship is almost 0. Naturally, the setup time is not satisfied. Why the latch edge doesn't delay to the launch edge?

setup_err2.png

Thanks in advance.

HKana17

 

My environment

Quartus Prime Lite Edition: 18.1.0 Build 625 09/12/2018 SJ Lite Edition

Windows7 professional sp1

Target device: MAX 10 (10M08)

Labels (1)
0 Kudos
1 Solution
RichardTanSY_Intel
1,851 Views

After looking at the Board Configuration, I believe this is Source-Synchronous Interface.

You may refer to the AN 433: Constraining and Analyzing Source-Synchronous Interfaces, section "Input Clock Constraints" on how to constraint the clock.

Link: https://www.intel.com/content/www/us/en/content-details/653688/an-433-constraining-and-analyzing-source-synchronous-interfaces.html

With the current clock constraints you have, they are not synchronous with each other. Therefore, meeting either the phase-shift or frequency requirement cannot fulfill both requirements simultaneously.


One approach I can suggest is to write a clock constraint in such a way that Quartus treats this as a PLL, ensuring that both clocks are synchronous with each other.


Regards,

Richard Tan


View solution in original post

0 Kudos
24 Replies
RichardTanSY_Intel
1,852 Views

After looking at the Board Configuration, I believe this is Source-Synchronous Interface.

You may refer to the AN 433: Constraining and Analyzing Source-Synchronous Interfaces, section "Input Clock Constraints" on how to constraint the clock.

Link: https://www.intel.com/content/www/us/en/content-details/653688/an-433-constraining-and-analyzing-source-synchronous-interfaces.html

With the current clock constraints you have, they are not synchronous with each other. Therefore, meeting either the phase-shift or frequency requirement cannot fulfill both requirements simultaneously.


One approach I can suggest is to write a clock constraint in such a way that Quartus treats this as a PLL, ensuring that both clocks are synchronous with each other.


Regards,

Richard Tan


0 Kudos
HKana17
Novice
264 Views

Thank you for your comments.

 

I didn't know that kind of configuration name, Source-Synchronous Interfaces.

I see AN433 for the first time. It has many contents, so I would like to take the time to check it.

 

I will close this case. For another question, I will create a new case.

 

Thank you again.

HKana17

0 Kudos
muhammadhammaf2424
221 Views

<p>After looking at the Board Configuration, I believe this is Source-Synchronous Interface.</p>

<p>You may refer to the <a href="https://www.intel.com/content/www/us/en/content-details/653688/an-433-constraining-and-analyzing-source-synchronous-interfaces.html">AN 433: Constraining and Analyzing Source-Synchronous Interfaces</a>, section "Input Clock Constraints" on how to constrain the clock.</p>

<p>With the current clock constraints you have, they are not synchronous with each other. Therefore, meeting either the phase-shift or frequency requirement cannot fulfill both requirements simultaneously.</p>

<p>One approach I can suggest is to write a clock constraint in such a way that Quartus treats this as a PLL, ensuring that both clocks are synchronous with each other.</p>

<p>Regards,</p>
<p>Richard Tan</p>

0 Kudos
RichardTanSY_Intel
259 Views

Thank you for the acknowledgement.

I will transition this thread to community support. If you have any further questions or concerns, please don't hesitate to reach out.

Thank you and have a great day!


Best Regards,

Richard Tan


0 Kudos
Reply