- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
I dont know why I am getting this error (Error (10482): Error (10482): VHDL error at QuadratureDecoder.vhd(34): object "conv_std_logic_vector" is used but not declared
Please point out what do I need to change.. Here is my code
library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity QuadratureDecoder is
Port ( QuadA : in STD_LOGIC;
QuadB : in STD_LOGIC;
Clk : in STD_LOGIC;
conv : out STD_LOGIC_VECTOR ;
position : out STD_LOGIC_VECTOR (7 downto 0));
end QuadratureDecoder;
architecture Behavioral of QuadratureDecoder is
signal QuadA_Delayed: unsigned(2 downto 0) := "000";
signal QuadB_Delayed: unsigned(2 downto 0) := "000";
signal position1 : std_logic_vector (7 downto 0):="00000000";
signal Count_Enable: STD_LOGIC;
signal Count_Direction: STD_LOGIC;
signal Count: unsigned(7 downto 0) := "00000000";
begin
process (Clk)
begin
if Clk='1' and Clk'event then
QuadA_Delayed <= (QuadA_Delayed(1), QuadA_Delayed(0), QuadA);
QuadB_Delayed <= (QuadB_Delayed(1), QuadB_Delayed(0), QuadB);
if Count_Enable='1' then
if Count_Direction='1' then
Count <= Count + 1;
position <= conv_std_logic_vector(Count, 8);
else
Count <= Count - 1;
Position <= conv_std_logic_vector(Count, 8);
end if;
end if;
end if;
end process;
Count_Enable <= QuadA_Delayed(1) xor QuadA_Delayed(2) xor QuadB_Delayed(1)
xor QuadB_Delayed(2);
Count_Direction <= QuadA_Delayed(1) xor QuadB_Delayed(2);
end Behavioral;
Link Copied
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
Hi mho12,
Can you check if this helps: https://forums.intel.com/s/question/0D50P00003yyNzcSAE/convstdlogicvector?language=en_US?
Thanks
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
Hi mho12,
Is there any updates?
Thanks
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
try changing ur header to use ieee.std_logic_arith.all;
im not sure if it works but u can give it a try
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
Ur input declaration for conv must add a (7downto 0), i tried running it on quartusII, it runs successfully
- Subscribe to RSS Feed
- Mark Topic as New
- Mark Topic as Read
- Float this Topic for Current User
- Bookmark
- Subscribe
- Printer Friendly Page