Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16666 Discussions

How to set Timing Constraints (Tco, Tsu, Fast Output Register)

Altera_Forum
Honored Contributor II
1,323 Views

Hi, I'm trying to include "Flash Altera IP University Program Core" into my project. 

 

I read in this Altera tutorial (ftp:// ftp.altera.com/up/pub/Altera_Material/11.0/University_Program_IP_Cores/Memory/Flash_Memory_IP_Core.pdf) that the timing constraints for the F L_¤ signals should be set as follows: 1. Fast Output Register flag should be turned ON 2. Tco requirement should be set to no more than 10ns, and 3. Tsu requirement should be set to no more than 10ns. 

 

Could somebody tell me a step by step procedure to do these 3 setting?
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
392 Views

for classic timing you enter tSU/tH/tCO directly 

for timequest you may find this thread useful 

 

http://www.alteraforum.com/forum/showthread.php?p=125824#post125824
0 Kudos
Altera_Forum
Honored Contributor II
392 Views

 

--- Quote Start ---  

for classic timing you enter tSU/tH/tCO directly 

for timequest you may find this thread useful 

 

--- Quote End ---  

 

 

Could you tell me a step by step procedure to do these 3 setting?
0 Kudos
Reply