Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

Quartus 23 Lite

NGord
New Contributor I
744 Views

I have an error from the Compiler producing only a time-limited sof file because it is complaining about unlicensed Signal tap, which previous Quartus versions didnt report.
Signal Tap is disabled in the Settings File..

Is this a known Bug in Quartus Lite 23?

Labels (1)
0 Kudos
1 Solution
NGord
New Contributor I
666 Views

OK - my fault! I think the license I had was in the wrong directory. 

View solution in original post

0 Kudos
4 Replies
sstrell
Honored Contributor III
707 Views

Signal Tap is included in all editions of Quartus.

Can you show the exact error messages you're getting?  It could be that some other IP you are using is unlicensed.

0 Kudos
NGord
New Contributor I
682 Views

My mistake, not signal tap but Triple Speed Ethernet for which I have a license file.

Warning (12188): Intel FPGA IP Evaluation Mode feature is turned on for the following cores

               Warning (12190): "Triple-Speed Ethernet" will use the Intel FPGA IP Evaluation Mode feature

Warning (265072): Messages from megafunction that supports Intel FPGA IP Evaluation Mode feature

               Warning (265073): Messages from megafunction that supports Intel FPGA IP Evaluation Mode feature TSE_MAC

                              Warning (265074): The Triple Speed Ethernet MegaCore MAC function will be disabled after time-out is reached

Warning (265069): Megafunction that supports Intel FPGA IP Evaluation Mode feature will stop functioning in 1 hour after device is programmed

I attach license file.

This didn't happen with Quartus 22.



0 Kudos
NGord
New Contributor I
667 Views

OK - my fault! I think the license I had was in the wrong directory. 

0 Kudos
SyafieqS
Employee
564 Views

I’m glad that your question has been addressed, I now transition this thread to community support. If you have a new question, Please login to https://supporttickets.intel.com/, view details of the desire request, and post a feed/response within the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you on your follow-up questions.


p/s: If any answer from community or Intel support are helpful, please feel free to mark as solution, give Kudos and rate 5/5 survey



0 Kudos
Reply