Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16939 Discussions

Query in the Post Route results.

PravinKumar
Beginner
481 Views

May I know how implemented frequency is calculated here and why the FMax is calculated in that way.

I am seeing the difference in calculating Fmax for the design.

Highlighted the considered values from reports and attached snapshots.

I have attached the project folder for your reference.

Can you help me to identify the issue.

Labels (1)
0 Kudos
7 Replies
ShengN_Intel
Employee
426 Views

Hi,

 

I had tried to extract the folder and open the design. However can't find the related files in screenshot:

ShengN_Intel_0-1732256636202.png

 

Could you provide the missing files as well?

 

Thanks,

Regards,

Sheng

 

0 Kudos
ShengN_Intel
Employee
367 Views

Hi,


May I know do you have any further concern or consideration?


Thanks,

Regards,

Sheng


0 Kudos
PravinKumar
Beginner
315 Views

Hi, 

Yes, I have attached the hdl_prj folder which is having hdlsrc and quartus_prj.

Please let me know how to proceed.

 

Thanks,

Pravin

0 Kudos
FvM
Honored Contributor I
291 Views

Hi,

your design fails timing analysis because Arria 10 maximum core clock frequency of 644 MHz is exceeded (timing analyser uses rounded value of 645.16MHz = 1/1.55ns). This causes a "minimum pulsewidth" violation for clk and a respective restricted Fmax value.
Possible contradicting reported values in timing analysis are referred. You need to solve the minimum pulsewidth problem first.

Regards
Frank 

0 Kudos
ShengN_Intel
Employee
282 Views

Hi,


FvM is right. You may check this document link https://cdrdv2-public.intel.com/666844/a10_datasheet-683771-666844.pdf (page 36):

Parameter Performance (All Speed Grades) Unit
Global clock, regional clock, and small periphery clock 644 MHz
Large periphery clock 525 MHz


As for setup slack, you may go to Assignments -> Settings -> Compiler Settings -> choose Performance


Thanks,

Regards,

Sheng


0 Kudos
FvM
Honored Contributor I
278 Views

Hi,
this .sdc passes timing analysis
create_clock -name clk -period 1.56ns -waveform {0.0ns 0.78ns} [get_ports {clk}]
derive_clock_uncertainty
set_false_path -to [all_outputs]
set_false_path -from [all_inputs]

Presume NR_symbol_modulator is planned to be used inside an Arria 10 design with some kind of high speed interface. Consider that FPGA fabric side of the interface can't run above 644 MHz clock. If intended 780 MHz clock is required by interface throughput, you'll need more bits for the parallel data stream. 

0 Kudos
ShengN_Intel
Employee
124 Views

Hi,


May I know do you have any further update or concern?


Thanks,

Regards,

Sheng


0 Kudos
Reply