Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

SDC files -> when used?

amildm
Valued Contributor I
1,157 Views

Hi All,

When SDC file used in the Quartus Compilation Flow?

As for my understanding, they are used:

- during the logic synthesis phase (timing/constraints-driven synthesis)

- during the logic cells placement/routing (?)

- during the Timing Sign-Off phase

Is that correct? Do the same SDC files are used during all the above phases?

Thank you!

0 Kudos
11 Replies
sstrell
Honored Contributor III
1,144 Views

Yes, yes, yes, and yes.  Unless there's something in the file to have it not use a constraint (like an over constraint that should not be used during timing analysis), the same files are used throughout.

0 Kudos
amildm
Valued Contributor I
1,086 Views

How is it possible to distinguish between the regular and over-constraints? Is there any argument, which should be used with the over-constraints, that tell the tool do not use it during the timing analysis? 

0 Kudos
ak6dn
Valued Contributor III
1,139 Views

My Quartus designs typically have three files:

o DESIGN.qpf ... the Quartus Project File, which just sets the project revision

o DESIGN.qsf ... the Quartus Settings File, which has most of the Quartus "set_xxx ..." commands

     and

o DESIGN.sdc ... the Synopsys Design Constraints file, which has timing related commands like
                              create_clock, set_input_delay, set_false_path, etc

Each of the tools (quartus_map, quartus_fit, quartus_asm, quartus_sta, quartus_eda) have access to all
of the above files via the DESIGN.qpf/qsf/sdc file(s), so theoretically each tool can use any file contents
as it sees fit.

0 Kudos
Nurina
Employee
1,136 Views

Hello,


Does the above reply answer your question?


Regards,

Nurina


0 Kudos
Nurina
Employee
1,120 Views

Hello,


We do not receive any response from you on the previous reply provided. Please login to ‘https://supporttickets.intel.com’, view details of the desire request, and post a feed/response within the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you on your follow-up questions.


p/s: If any answer from community or Intel support are helpful, please feel free to mark as solution, give Kudos and rate 4/5 survey


Regards,

Nurina


0 Kudos
amildm
Valued Contributor I
1,085 Views

I've tried to add comments to this case in the link, which you provided, but the 'Post' bottom is in grey (in the Timeline section), so I cannot add any comments there ... how to solve ?

 

0 Kudos
Nurina
Employee
1,072 Views

Hi,

 

Have you tried refreshing the page? Can you post a screenshot of that? I will report this problem to internal team.

 

Regards,

Nurina

0 Kudos
amildm
Valued Contributor I
1,071 Views

Now it works... Posted my question there ....

0 Kudos
Nurina
Employee
1,045 Views

Hi,


Why don't you want the overconstraints to be used during timing analysis? What do you what these to do, and/or which point of the compilation do you want it to be used?


Regards,

Nurina


0 Kudos
Nurina
Employee
1,042 Views

Hello,


Is this what you're looking for? It applies during fitter rather than timing analysis.


https://www.intel.com/content/www/us/en/docs/programmable/683243/21-3/using-fitter-overconstraints.html#pxe1487713499243__section_N10019_N10016_N10001


Regards,

Nurina


0 Kudos
Nurina
Employee
996 Views

Hi,


We do not receive any response from you on the previous answer provided. Please login to ‘https://supporttickets.intel.com’, view details of the desire request, and post a feed/response within the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you on your follow-up questions.


p/s: If any answer from community or Intel support are helpful, please feel free to mark as solution, give Kudos and rate 4/5 survey


Regards,

Nurina


0 Kudos
Reply