Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16682 Discussions

logic simulation error of Quartus (Quartus Prime 21.1) Lite Edition

jj16
Beginner
517 Views

I wanted to do logic simulation with Quartus (Quartus Prime 21.1) Lite Edition, but I got the following error.

Error: Can't launch Questa Intel FPGA Simulation software -- make sure the software is properly installed and the environment variable LM_LICENSE_FILE or MGLS_LICENSE_FILE points to the correct license file.

Error: NativeLink simulation flow was NOT successful

Any ideas what could be causing the error?

license_1.png

 

0 Kudos
4 Replies
SyafieqS
Employee
495 Views

Hello,


Did you properly setup the license? Lite is free but still need license, You need to generate in SSLC and specify the location or using env variable. Also please make sure the path in quartus calling Questa in correct


0 Kudos
SyafieqS
Employee
479 Views

May I know if there is any update from my previous reply?

Did you able to solve it. Let me know


0 Kudos
SyafieqS
Employee
451 Views

 As we do not receive any response from you on the previous question/reply/answer that we have provided. Please login to ‘https://supporttickets.intel.com’, view details of the desire request, and post a feed/response within the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you on your follow-up questions.


p/s: If any answer from community or Intel support are helpful, please feel free to mark as solution, give Kudos and rate 10/10 survey



0 Kudos
jj16
Beginner
446 Views

Sorry for the late reply.

I understand the above matter.

Thank you for your response.

0 Kudos
Reply