Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16642 Discussions

quartus_cpf - converting sof file to encrypted pof (with ekp included) for Max 10 FPGA

DamBam
Novice
838 Views

Hello everyone,

 

I am trying to convert sof file to encrypted pof with ekp inside it using quartus_cpf command. Unfortunately after invoking the following:

quartus_cpf -c -k epof_key.key:key_0 -o create_ekp_file=on -o memory_map_file=on  file.sof epof.pof

I get the encrypted pof but with no ekp included inside.

There is no possibility to use GUI tools like decribed in "Intel® MAX® 10 FPGA Configuration Overview" 3.8.3.1 in my case. The resulting pof file with ekp inside is crucial because the next step is to program it into device with quartus_pgm.

Command help both for quartus_cpf and quartus_pgm is rather enigmatic in this section.

Can anbody give me example of command to generate encrypted pof with ekp integrated in command line? 

Is there another way to program encrypted pof and ekp file in device internal flash in command line manner?

 

My hardware info:

Host: Windows 10 Build 19045

Quartus Prime Standard Edition 18.0.0 Build 614

devkit: Max10 development kit

mode: internal configuration with bitstream encryption

 

I look forward to hear from You

Damian B

0 Kudos
1 Solution
Fakhrul
Employee
801 Views

Hi DamBam,


There's a workaround provided by the engineering as below:

There are 2 ways to do this in command line:

1) Program the EKP and POF files separately.

Example:

quartus_pgm -c 1 -m jtag -o "p;test.ekp"

quartus_pgm -c 1 -m jtag -o "p;test.pof"


The outcome is the same as combine the EKP into the POF and program altogether.

For POF file, you need to ensure the "Allow encrypted POF only" option is disabled (default is disabled if you didn't make any change) when you generate using quartus_cpf.

For details, you can refer to https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/hb/max-10/ug_m10_config.pdf


2) Save your GUI settings into a CDF file and play the CDF file in quartus_pgm

Steps:

a) Setup your settings in the GUI


b) Click File->Save As... and save the settings into a CDF file (test.cdf).


c) Play the CDF file in quartus_pgm:

quartus_pgm -c 1 test.cdf


Hope this works.


Regards,

Fakhrul


View solution in original post

0 Kudos
2 Replies
Fakhrul
Employee
802 Views

Hi DamBam,


There's a workaround provided by the engineering as below:

There are 2 ways to do this in command line:

1) Program the EKP and POF files separately.

Example:

quartus_pgm -c 1 -m jtag -o "p;test.ekp"

quartus_pgm -c 1 -m jtag -o "p;test.pof"


The outcome is the same as combine the EKP into the POF and program altogether.

For POF file, you need to ensure the "Allow encrypted POF only" option is disabled (default is disabled if you didn't make any change) when you generate using quartus_cpf.

For details, you can refer to https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/hb/max-10/ug_m10_config.pdf


2) Save your GUI settings into a CDF file and play the CDF file in quartus_pgm

Steps:

a) Setup your settings in the GUI


b) Click File->Save As... and save the settings into a CDF file (test.cdf).


c) Play the CDF file in quartus_pgm:

quartus_pgm -c 1 test.cdf


Hope this works.


Regards,

Fakhrul


0 Kudos
Fakhrul
Employee
763 Views

Hi DamBam,


I wish to follow up with you on this case. Do you still have further inquiries on this issue? Please feel free to let me know if there is any concern so that we could further assist you. Otherwise, this thread will be idling and marked as inactive, thus it will be transitioned to community support because there is no update received from you in a while.


Regards,

Fakhrul


0 Kudos
Reply