Intel® oneAPI DPC++/C++ Compiler
Talk to fellow users of Intel® oneAPI DPC++/C++ Compiler and companion tools like Intel® oneAPI DPC++ Library, Intel® DPC++ Compatibility Tool, and Intel® Distribution for GDB*

Error: Unable to rewrite SYCL IR file

aidanom1
Novice
559 Views

Hi, I'm trying to build for the Stratix 10. I have a set of object files already compiled and I'm trying to link them together (also using GSL). I am getting the error in the subject. The exact linking command is as follows

 

u177770@s001-n061:~/american-asset-pricer-gold/build/src$ /glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin/icpx -fsycl -fintelfpga -Xshardware -Xsprofile -Xsclock=250MHz -Xsboard=/opt/intel/oneapi/intel_s10sx_pac:pac_s10 -reuse-exe=/home/u177770/american-asset-pricer-gold/build/optPricer.profile CMakeFiles/optPricer.profile.dir/fp2bin.cpp.o CMakeFiles/optPricer.profile.dir/generic_fifo.cpp.o CMakeFiles/optPricer.profile.dir/inverse.cpp.o CMakeFiles/optPricer.profile.dir/lin_alg_gen.cpp.o CMakeFiles/optPricer.profile.dir/opt_pricer_refactored.cpp.o /home/u177770/gsl/lib/libgsl.a -o ../optPricer.profile -v
Intel(R) oneAPI DPC++/C++ Compiler 2023.0.0 (2023.0.0.20221201)
Target: x86_64-unknown-linux-gnu
Thread model: posix
InstalledDir: /glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm
Configuration file: /glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../bin/icpx.cfg
Found candidate GCC installation: /usr/lib/gcc/x86_64-linux-gnu/9
Selected GCC installation: /usr/lib/gcc/x86_64-linux-gnu/9
Candidate multilib: .;@m64
Selected multilib: .;@m64
clang-offload-bundler -type=ao -targets=host-x86_64-unknown-linux-gnu -input=/home/u177770/gsl/lib/libgsl.a -check-section -base-temp-dir=/home/u177770/tmp/icpx-458e49
clang-offload-bundler -type=o -targets=sycl-spir64-unknown-unknown -input=CMakeFiles/optPricer.profile.dir/fp2bin.cpp.o -check-section -base-temp-dir=/home/u177770/tmp/icpx-458e49
clang-offload-bundler -type=o -targets=sycl-spir64-unknown-unknown -input=CMakeFiles/optPricer.profile.dir/generic_fifo.cpp.o -check-section -base-temp-dir=/home/u177770/tmp/icpx-458e49
clang-offload-bundler -type=o -targets=sycl-spir64-unknown-unknown -input=CMakeFiles/optPricer.profile.dir/inverse.cpp.o -check-section -base-temp-dir=/home/u177770/tmp/icpx-458e49
clang-offload-bundler -type=o -targets=sycl-spir64-unknown-unknown -input=CMakeFiles/optPricer.profile.dir/lin_alg_gen.cpp.o -check-section -base-temp-dir=/home/u177770/tmp/icpx-458e49
clang-offload-bundler -type=o -targets=sycl-spir64-unknown-unknown -input=CMakeFiles/optPricer.profile.dir/opt_pricer_refactored.cpp.o -check-section -base-temp-dir=/home/u177770/tmp/icpx-458e49
clang-offload-bundler -type=ao -targets=sycl-spir64-unknown-unknown -input=/home/u177770/gsl/lib/libgsl.a -check-section -base-temp-dir=/home/u177770/tmp/icpx-458e49
/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler -type=o -input=CMakeFiles/optPricer.profile.dir/fp2bin.cpp.o -list
/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler -type=o -input=CMakeFiles/optPricer.profile.dir/generic_fifo.cpp.o -list
/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler -type=o -input=CMakeFiles/optPricer.profile.dir/inverse.cpp.o -list
/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler -type=o -input=CMakeFiles/optPricer.profile.dir/lin_alg_gen.cpp.o -list
/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler -type=o -input=CMakeFiles/optPricer.profile.dir/opt_pricer_refactored.cpp.o -list
/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler -type=ao -input=/home/u177770/gsl/lib/libgsl.a -list
clang-offload-bundler -type=ao -targets=host-x86_64-unknown-linux-gnu -input=/home/u177770/gsl/lib/libgsl.a -check-section -base-temp-dir=/home/u177770/tmp/icpx-458e49
clang-offload-bundler -type=ao -targets=sycl-fpga_aocx-intel-unknown -input=/home/u177770/gsl/lib/libgsl.a -check-section -base-temp-dir=/home/u177770/tmp/icpx-458e49
clang-offload-bundler -type=ao -targets=sycl-fpga_aocr-intel-unknown -input=/home/u177770/gsl/lib/libgsl.a -check-section -base-temp-dir=/home/u177770/tmp/icpx-458e49
clang-offload-bundler -type=ao -targets=sycl-fpga_aocr_emu-intel-unknown -input=/home/u177770/gsl/lib/libgsl.a -check-section -base-temp-dir=/home/u177770/tmp/icpx-458e49
clang-offload-bundler -type=ao -targets=sycl-fpga_aocx-intel-unknown -input=/home/u177770/gsl/lib/libgsl.a -check-section -base-temp-dir=/home/u177770/tmp/icpx-458e49
clang-offload-bundler -type=ao -targets=sycl-fpga_aocr-intel-unknown -input=/home/u177770/gsl/lib/libgsl.a -check-section -base-temp-dir=/home/u177770/tmp/icpx-458e49
clang-offload-bundler -type=ao -targets=sycl-fpga_aocr_emu-intel-unknown -input=/home/u177770/gsl/lib/libgsl.a -check-section -base-temp-dir=/home/u177770/tmp/icpx-458e49
clang-offload-bundler -type=ao -targets=host-x86_64-unknown-linux-gnu -input=/home/u177770/gsl/lib/libgsl.a -check-section -base-temp-dir=/home/u177770/tmp/icpx-458e49
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=host-x86_64-unknown-linux-gnu,sycl-spir64_fpga-unknown-unknown -input=CMakeFiles/optPricer.profile.dir/fp2bin.cpp.o -output=/home/u177770/tmp/icpx-458e49/fp2bin-9b5c1a.o -output=/home/u177770/tmp/icpx-458e49/fp2bin-c9f13e.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-458e49
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/spirv-to-ir-wrapper" /home/u177770/tmp/icpx-458e49/fp2bin-c9f13e.o -o /home/u177770/tmp/icpx-458e49/fp2bin-a50c36.bc
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=host-x86_64-unknown-linux-gnu,sycl-spir64_fpga-unknown-unknown -input=CMakeFiles/optPricer.profile.dir/generic_fifo.cpp.o -output=/home/u177770/tmp/icpx-458e49/generic_fifo-750708.o -output=/home/u177770/tmp/icpx-458e49/generic_fifo-b3ed3d.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-458e49
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/spirv-to-ir-wrapper" /home/u177770/tmp/icpx-458e49/generic_fifo-b3ed3d.o -o /home/u177770/tmp/icpx-458e49/generic_fifo-1c869a.bc
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=host-x86_64-unknown-linux-gnu,sycl-spir64_fpga-unknown-unknown -input=CMakeFiles/optPricer.profile.dir/inverse.cpp.o -output=/home/u177770/tmp/icpx-458e49/inverse-153066.o -output=/home/u177770/tmp/icpx-458e49/inverse-e0becf.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-458e49
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/spirv-to-ir-wrapper" /home/u177770/tmp/icpx-458e49/inverse-e0becf.o -o /home/u177770/tmp/icpx-458e49/inverse-4344a4.bc
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=host-x86_64-unknown-linux-gnu,sycl-spir64_fpga-unknown-unknown -input=CMakeFiles/optPricer.profile.dir/lin_alg_gen.cpp.o -output=/home/u177770/tmp/icpx-458e49/lin_alg_gen-c68a3b.o -output=/home/u177770/tmp/icpx-458e49/lin_alg_gen-7580b1.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-458e49
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/spirv-to-ir-wrapper" /home/u177770/tmp/icpx-458e49/lin_alg_gen-7580b1.o -o /home/u177770/tmp/icpx-458e49/lin_alg_gen-ac6dcc.bc
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=host-x86_64-unknown-linux-gnu,sycl-spir64_fpga-unknown-unknown -input=CMakeFiles/optPricer.profile.dir/opt_pricer_refactored.cpp.o -output=/home/u177770/tmp/icpx-458e49/opt_pricer_refactored-3ace98.o -output=/home/u177770/tmp/icpx-458e49/opt_pricer_refactored-ddc226.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-458e49
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/spirv-to-ir-wrapper" /home/u177770/tmp/icpx-458e49/opt_pricer_refactored-ddc226.o -o /home/u177770/tmp/icpx-458e49/opt_pricer_refactored-6ece90.bc
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/llvm-link" /home/u177770/tmp/icpx-458e49/fp2bin-a50c36.bc /home/u177770/tmp/icpx-458e49/generic_fifo-1c869a.bc /home/u177770/tmp/icpx-458e49/inverse-4344a4.bc /home/u177770/tmp/icpx-458e49/lin_alg_gen-ac6dcc.bc /home/u177770/tmp/icpx-458e49/opt_pricer_refactored-6ece90.bc -o /home/u177770/tmp/icpx-458e49/fp2bin-a05200.bc --suppress-warnings
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-crt.o -output=/home/u177770/tmp/icpx-458e49/libsycl-crt-3ad979.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-458e49
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-complex.o -output=/home/u177770/tmp/icpx-458e49/libsycl-complex-5a419d.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-458e49
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-complex-fp64.o -output=/home/u177770/tmp/icpx-458e49/libsycl-complex-fp64-96a58c.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-458e49
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-cmath.o -output=/home/u177770/tmp/icpx-458e49/libsycl-cmath-cfb8d4.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-458e49
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-cmath-fp64.o -output=/home/u177770/tmp/icpx-458e49/libsycl-cmath-fp64-874d95.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-458e49
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-imf.o -output=/home/u177770/tmp/icpx-458e49/libsycl-imf-ecfb57.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-458e49
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-imf-fp64.o -output=/home/u177770/tmp/icpx-458e49/libsycl-imf-fp64-4a18ba.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-458e49
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-fallback-cassert.o -output=/home/u177770/tmp/icpx-458e49/libsycl-fallback-cassert-5510a9.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-458e49
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-fallback-cstring.o -output=/home/u177770/tmp/icpx-458e49/libsycl-fallback-cstring-c687f6.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-458e49
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-fallback-complex.o -output=/home/u177770/tmp/icpx-458e49/libsycl-fallback-complex-b7d057.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-458e49
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-fallback-complex-fp64.o -output=/home/u177770/tmp/icpx-458e49/libsycl-fallback-complex-fp64-5334e9.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-458e49
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-fallback-cmath.o -output=/home/u177770/tmp/icpx-458e49/libsycl-fallback-cmath-b23dbf.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-458e49
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-fallback-cmath-fp64.o -output=/home/u177770/tmp/icpx-458e49/libsycl-fallback-cmath-fp64-70480e.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-458e49
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-fallback-imf.o -output=/home/u177770/tmp/icpx-458e49/libsycl-fallback-imf-2d5a44.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-458e49
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-fallback-imf-fp64.o -output=/home/u177770/tmp/icpx-458e49/libsycl-fallback-imf-fp64-00be00.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-458e49
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-itt-user-wrappers.o -output=/home/u177770/tmp/icpx-458e49/libsycl-itt-user-wrappers-553891.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-458e49
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-itt-compiler-wrappers.o -output=/home/u177770/tmp/icpx-458e49/libsycl-itt-compiler-wrappers-15452f.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-458e49
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-itt-stubs.o -output=/home/u177770/tmp/icpx-458e49/libsycl-itt-stubs-49f820.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-458e49
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/llvm-link" -only-needed /home/u177770/tmp/icpx-458e49/fp2bin-a05200.bc /home/u177770/tmp/icpx-458e49/libsycl-crt-3ad979.o /home/u177770/tmp/icpx-458e49/libsycl-complex-5a419d.o /home/u177770/tmp/icpx-458e49/libsycl-complex-fp64-96a58c.o /home/u177770/tmp/icpx-458e49/libsycl-cmath-cfb8d4.o /home/u177770/tmp/icpx-458e49/libsycl-cmath-fp64-874d95.o /home/u177770/tmp/icpx-458e49/libsycl-imf-ecfb57.o /home/u177770/tmp/icpx-458e49/libsycl-imf-fp64-4a18ba.o /home/u177770/tmp/icpx-458e49/libsycl-fallback-cassert-5510a9.o /home/u177770/tmp/icpx-458e49/libsycl-fallback-cstring-c687f6.o /home/u177770/tmp/icpx-458e49/libsycl-fallback-complex-b7d057.o /home/u177770/tmp/icpx-458e49/libsycl-fallback-complex-fp64-5334e9.o /home/u177770/tmp/icpx-458e49/libsycl-fallback-cmath-b23dbf.o /home/u177770/tmp/icpx-458e49/libsycl-fallback-cmath-fp64-70480e.o /home/u177770/tmp/icpx-458e49/libsycl-fallback-imf-2d5a44.o /home/u177770/tmp/icpx-458e49/libsycl-fallback-imf-fp64-00be00.o /home/u177770/tmp/icpx-458e49/libsycl-itt-user-wrappers-553891.o /home/u177770/tmp/icpx-458e49/libsycl-itt-compiler-wrappers-15452f.o /home/u177770/tmp/icpx-458e49/libsycl-itt-stubs-49f820.o -o /home/u177770/tmp/icpx-458e49/fp2bin-64dbe1.bc --suppress-warnings
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin/sycl-post-link" -emit-only-kernels-as-entry-points -emit-param-info -symbols -emit-exported-symbols -split-esimd -lower-esimd -O2 -spec-const=default -device-globals -o /home/u177770/tmp/icpx-458e49/fp2bin-ff1bd1.table /home/u177770/tmp/icpx-458e49/fp2bin-64dbe1.bc
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/file-table-tform" -extract=Code -drop_titles -o /home/u177770/tmp/icpx-458e49/fp2bin-b26fa0.txt /home/u177770/tmp/icpx-458e49/fp2bin-ff1bd1.table
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/llvm-foreach" --in-file-list=/home/u177770/tmp/icpx-458e49/fp2bin-b26fa0.txt --in-replace=/home/u177770/tmp/icpx-458e49/fp2bin-b26fa0.txt --out-ext=spv --out-file-list=/home/u177770/tmp/icpx-458e49/fp2bin-0c5417.txt --out-replace=/home/u177770/tmp/icpx-458e49/fp2bin-0c5417.txt --out-dir=/home/u177770/tmp/icpx-458e49 -- /glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/llvm-spirv -o /home/u177770/tmp/icpx-458e49/fp2bin-0c5417.txt -spirv-max-version=1.3 -spirv-debug-info-version=ocl-100 -spirv-allow-extra-diexpressions -spirv-allow-unknown-intrinsics=llvm.genx. -spirv-ext=-all,+SPV_EXT_shader_atomic_float_add,+SPV_EXT_shader_atomic_float_min_max,+SPV_KHR_no_integer_wrap_decoration,+SPV_KHR_float_controls,+SPV_KHR_expect_assume,+SPV_KHR_linkonce_odr,+SPV_INTEL_subgroups,+SPV_INTEL_media_block_io,+SPV_INTEL_device_side_avc_motion_estimation,+SPV_INTEL_fpga_loop_controls,+SPV_INTEL_unstructured_loop_controls,+SPV_INTEL_fpga_reg,+SPV_INTEL_blocking_pipes,+SPV_INTEL_function_pointers,+SPV_INTEL_kernel_attributes,+SPV_INTEL_io_pipes,+SPV_INTEL_inline_assembly,+SPV_INTEL_arbitrary_precision_integers,+SPV_INTEL_float_controls2,+SPV_INTEL_vector_compute,+SPV_INTEL_fast_composite,+SPV_INTEL_joint_matrix,+SPV_INTEL_arbitrary_precision_fixed_point,+SPV_INTEL_arbitrary_precision_floating_point,+SPV_INTEL_variable_length_array,+SPV_INTEL_fp_fast_math_mode,+SPV_INTEL_long_constant_composite,+SPV_INTEL_arithmetic_fence,+SPV_INTEL_global_variable_decorations,+SPV_INTEL_task_sequence,+SPV_INTEL_optnone,+SPV_INTEL_usm_storage_classes,+SPV_INTEL_runtime_aligned,+SPV_INTEL_fpga_cluster_attributes,+SPV_INTEL_loop_fuse,+SPV_INTEL_fpga_buffer_location,+SPV_INTEL_fpga_invocation_pipelining_attributes,+SPV_INTEL_fpga_dsp_control,+SPV_INTEL_fpga_memory_accesses,+SPV_INTEL_fpga_memory_attributes,-SPV_INTEL_optnone /home/u177770/tmp/icpx-458e49/fp2bin-b26fa0.txt
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-fpga_dep -input=CMakeFiles/optPricer.profile.dir/fp2bin.cpp.o -output=/home/u177770/tmp/icpx-458e49/fp2bin.cpp-3503d2.d -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-458e49
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-fpga_dep -input=CMakeFiles/optPricer.profile.dir/generic_fifo.cpp.o -output=/home/u177770/tmp/icpx-458e49/generic_fifo.cpp-3360f5.d -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-458e49
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-fpga_dep -input=CMakeFiles/optPricer.profile.dir/inverse.cpp.o -output=/home/u177770/tmp/icpx-458e49/inverse.cpp-1e4391.d -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-458e49
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-fpga_dep -input=CMakeFiles/optPricer.profile.dir/lin_alg_gen.cpp.o -output=/home/u177770/tmp/icpx-458e49/lin_alg_gen.cpp-453b4d.d -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-458e49
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-fpga_dep -input=CMakeFiles/optPricer.profile.dir/opt_pricer_refactored.cpp.o -output=/home/u177770/tmp/icpx-458e49/opt_pricer_refactored.cpp-b4909a.d -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-458e49
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/llvm-foreach" --out-ext=aocx --in-file-list=/home/u177770/tmp/icpx-458e49/fp2bin-0c5417.txt --in-replace=/home/u177770/tmp/icpx-458e49/fp2bin-0c5417.txt --out-file-list=/home/u177770/tmp/icpx-458e49/fp2bin-7cf7fc.aocx --out-replace=/home/u177770/tmp/icpx-458e49/fp2bin-7cf7fc.aocx --out-increment=../optPricer.profile.prj -- /glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/lib/oclfpga/bin/aoc -o /home/u177770/tmp/icpx-458e49/fp2bin-7cf7fc.aocx /home/u177770/tmp/icpx-458e49/fp2bin-0c5417.txt -sycl -dep-files=/home/u177770/tmp/icpx-458e49/fp2bin.cpp-3503d2.d,/home/u177770/tmp/icpx-458e49/generic_fifo.cpp-3360f5.d,/home/u177770/tmp/icpx-458e49/inverse.cpp-1e4391.d,/home/u177770/tmp/icpx-458e49/lin_alg_gen.cpp-453b4d.d,/home/u177770/tmp/icpx-458e49/opt_pricer_refactored.cpp-b4909a.d -output-report-folder=../optPricer.profile.prj -g -hardware -profile -clock=250MHz -board=/opt/intel/oneapi/intel_s10sx_pac:pac_s10 -reuse-exe=/home/u177770/american-asset-pricer-gold/build/optPricer.profile
warning: -reuse-exe file '/home/u177770/american-asset-pricer-gold/build/optPricer.profile' not found; ignored
Error: Unable to rewrite SYCL IR file

llvm-foreach:
icpx: error: fpga compiler command failed with exit code 1 (use -v to see invocation)
u177770@s001-n061:~/american-asset-pricer-gold/build/src$ /glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin/icpx -fsycl -fintelfpga -Xshardware -Xsprofile -Xsclock=250MHz -Xsboard=/opt/intel/oneapi/intel_s10sx_pac:pac_s10 -reuse-exe=/home/u177770/american-asset-pricer-gold/build/optPricer.profile CMakeFiles/optPricer.profile.dir/fp2bin.cpp.o CMakeFiles/optPricer.profile.dir/generic_fifo.cpp.o CMakeFiles/optPricer.profile.dir/inverse.cpp.o CMakeFiles/optPricer.profile.dir/lin_alg_gen.cpp.o CMakeFiles/optPricer.profile.dir/opt_pricer_refactored.cpp.o /home/u177770/gsl/lib/libgsl.sa -o
../optPricer.profile -v
Intel(R) oneAPI DPC++/C++ Compiler 2023.0.0 (2023.0.0.20221201)
Target: x86_64-unknown-linux-gnu
Thread model: posix
InstalledDir: /glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm
Configuration file: /glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../bin/icpx.cfg
Found candidate GCC installation: /usr/lib/gcc/x86_64-linux-gnu/9
Selected GCC installation: /usr/lib/gcc/x86_64-linux-gnu/9
Candidate multilib: .;@m64
Selected multilib: .;@m64
icpx: error: no such file or directory: '/home/u177770/gsl/lib/libgsl.sa'
clang-offload-bundler -type=o -targets=sycl-spir64-unknown-unknown -input=CMakeFiles/optPricer.profile.dir/fp2bin.cpp.o -check-section -base-temp-dir=/home/u177770/tmp/icpx-0a73ea
clang-offload-bundler -type=o -targets=sycl-spir64-unknown-unknown -input=CMakeFiles/optPricer.profile.dir/generic_fifo.cpp.o -check-section -base-temp-dir=/home/u177770/tmp/icpx-0a73ea
clang-offload-bundler -type=o -targets=sycl-spir64-unknown-unknown -input=CMakeFiles/optPricer.profile.dir/inverse.cpp.o -check-section -base-temp-dir=/home/u177770/tmp/icpx-0a73ea
clang-offload-bundler -type=o -targets=sycl-spir64-unknown-unknown -input=CMakeFiles/optPricer.profile.dir/lin_alg_gen.cpp.o -check-section -base-temp-dir=/home/u177770/tmp/icpx-0a73ea
clang-offload-bundler -type=o -targets=sycl-spir64-unknown-unknown -input=CMakeFiles/optPricer.profile.dir/opt_pricer_refactored.cpp.o -check-section -base-temp-dir=/home/u177770/tmp/icpx-0a73ea
/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler -type=o -input=CMakeFiles/optPricer.profile.dir/fp2bin.cpp.o -list
/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler -type=o -input=CMakeFiles/optPricer.profile.dir/generic_fifo.cpp.o -list
/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler -type=o -input=CMakeFiles/optPricer.profile.dir/inverse.cpp.o -list
/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler -type=o -input=CMakeFiles/optPricer.profile.dir/lin_alg_gen.cpp.o -list
/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler -type=o -input=CMakeFiles/optPricer.profile.dir/opt_pricer_refactored.cpp.o -list
u177770@s001-n061:~/american-asset-pricer-gold/build/src$ /glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin/icpx -fsycl -fintelfpga -Xshardware -Xsprofile -Xsclock=250MHz -Xsboard=/opt/intel/oneapi/intel_s10sx_pac:pac_s10 -reuse-exe=/home/u177770/american-asset-pricer-gold/build/optPricer.profile CMakeFiles/optPricer.profile.dir/fp2bin.cpp.o CMakeFiles/optPricer.profile.dir/generic_fifo.cpp.o CMakeFiles/optPricer.profile.dir/inverse.cpp.o CMakeFiles/optPricer.profile.dir/lin_alg_gen.cpp.o CMakeFiles/optPricer.profile.dir/opt_pricer_refactored.cpp.o /home/u177770/gsl/lib/libgsl.so -o
../optPricer.profile -v
Intel(R) oneAPI DPC++/C++ Compiler 2023.0.0 (2023.0.0.20221201)
Target: x86_64-unknown-linux-gnu
Thread model: posix
InstalledDir: /glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm
Configuration file: /glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../bin/icpx.cfg
Found candidate GCC installation: /usr/lib/gcc/x86_64-linux-gnu/9
Selected GCC installation: /usr/lib/gcc/x86_64-linux-gnu/9
Candidate multilib: .;@m64
Selected multilib: .;@m64
clang-offload-bundler -type=o -targets=sycl-spir64-unknown-unknown -input=CMakeFiles/optPricer.profile.dir/fp2bin.cpp.o -check-section -base-temp-dir=/home/u177770/tmp/icpx-7d2264
clang-offload-bundler -type=o -targets=sycl-spir64-unknown-unknown -input=CMakeFiles/optPricer.profile.dir/generic_fifo.cpp.o -check-section -base-temp-dir=/home/u177770/tmp/icpx-7d2264
clang-offload-bundler -type=o -targets=sycl-spir64-unknown-unknown -input=CMakeFiles/optPricer.profile.dir/inverse.cpp.o -check-section -base-temp-dir=/home/u177770/tmp/icpx-7d2264
clang-offload-bundler -type=o -targets=sycl-spir64-unknown-unknown -input=CMakeFiles/optPricer.profile.dir/lin_alg_gen.cpp.o -check-section -base-temp-dir=/home/u177770/tmp/icpx-7d2264
clang-offload-bundler -type=o -targets=sycl-spir64-unknown-unknown -input=CMakeFiles/optPricer.profile.dir/opt_pricer_refactored.cpp.o -check-section -base-temp-dir=/home/u177770/tmp/icpx-7d2264
/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler -type=o -input=CMakeFiles/optPricer.profile.dir/fp2bin.cpp.o -list
/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler -type=o -input=CMakeFiles/optPricer.profile.dir/generic_fifo.cpp.o -list
/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler -type=o -input=CMakeFiles/optPricer.profile.dir/inverse.cpp.o -list
/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler -type=o -input=CMakeFiles/optPricer.profile.dir/lin_alg_gen.cpp.o -list
/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler -type=o -input=CMakeFiles/optPricer.profile.dir/opt_pricer_refactored.cpp.o -list
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=host-x86_64-unknown-linux-gnu,sycl-spir64_fpga-unknown-unknown -input=CMakeFiles/optPricer.profile.dir/fp2bin.cpp.o -output=/home/u177770/tmp/icpx-7d2264/fp2bin-9084f5.o -output=/home/u177770/tmp/icpx-7d2264/fp2bin-c3da54.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-7d2264
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/spirv-to-ir-wrapper" /home/u177770/tmp/icpx-7d2264/fp2bin-c3da54.o -o /home/u177770/tmp/icpx-7d2264/fp2bin-ccfd18.bc
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=host-x86_64-unknown-linux-gnu,sycl-spir64_fpga-unknown-unknown -input=CMakeFiles/optPricer.profile.dir/generic_fifo.cpp.o -output=/home/u177770/tmp/icpx-7d2264/generic_fifo-acdfce.o -output=/home/u177770/tmp/icpx-7d2264/generic_fifo-af7252.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-7d2264
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/spirv-to-ir-wrapper" /home/u177770/tmp/icpx-7d2264/generic_fifo-af7252.o -o /home/u177770/tmp/icpx-7d2264/generic_fifo-1e2931.bc
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=host-x86_64-unknown-linux-gnu,sycl-spir64_fpga-unknown-unknown -input=CMakeFiles/optPricer.profile.dir/inverse.cpp.o -output=/home/u177770/tmp/icpx-7d2264/inverse-ef4c99.o -output=/home/u177770/tmp/icpx-7d2264/inverse-055f26.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-7d2264
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/spirv-to-ir-wrapper" /home/u177770/tmp/icpx-7d2264/inverse-055f26.o -o /home/u177770/tmp/icpx-7d2264/inverse-7d24cf.bc
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=host-x86_64-unknown-linux-gnu,sycl-spir64_fpga-unknown-unknown -input=CMakeFiles/optPricer.profile.dir/lin_alg_gen.cpp.o -output=/home/u177770/tmp/icpx-7d2264/lin_alg_gen-26e983.o -output=/home/u177770/tmp/icpx-7d2264/lin_alg_gen-b92d25.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-7d2264
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/spirv-to-ir-wrapper" /home/u177770/tmp/icpx-7d2264/lin_alg_gen-b92d25.o -o /home/u177770/tmp/icpx-7d2264/lin_alg_gen-f143de.bc
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=host-x86_64-unknown-linux-gnu,sycl-spir64_fpga-unknown-unknown -input=CMakeFiles/optPricer.profile.dir/opt_pricer_refactored.cpp.o -output=/home/u177770/tmp/icpx-7d2264/opt_pricer_refactored-dd32c6.o -output=/home/u177770/tmp/icpx-7d2264/opt_pricer_refactored-933b7f.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-7d2264
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/spirv-to-ir-wrapper" /home/u177770/tmp/icpx-7d2264/opt_pricer_refactored-933b7f.o -o /home/u177770/tmp/icpx-7d2264/opt_pricer_refactored-a9593d.bc
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/llvm-link" /home/u177770/tmp/icpx-7d2264/fp2bin-ccfd18.bc /home/u177770/tmp/icpx-7d2264/generic_fifo-1e2931.bc /home/u177770/tmp/icpx-7d2264/inverse-7d24cf.bc /home/u177770/tmp/icpx-7d2264/lin_alg_gen-f143de.bc /home/u177770/tmp/icpx-7d2264/opt_pricer_refactored-a9593d.bc -o /home/u177770/tmp/icpx-7d2264/fp2bin-ce7ec9.bc --suppress-warnings
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-crt.o -output=/home/u177770/tmp/icpx-7d2264/libsycl-crt-3ba8e7.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-7d2264
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-complex.o -output=/home/u177770/tmp/icpx-7d2264/libsycl-complex-6b49e0.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-7d2264
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-complex-fp64.o -output=/home/u177770/tmp/icpx-7d2264/libsycl-complex-fp64-f7322a.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-7d2264
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-cmath.o -output=/home/u177770/tmp/icpx-7d2264/libsycl-cmath-1d4667.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-7d2264
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-cmath-fp64.o -output=/home/u177770/tmp/icpx-7d2264/libsycl-cmath-fp64-425b11.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-7d2264
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-imf.o -output=/home/u177770/tmp/icpx-7d2264/libsycl-imf-44cfcb.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-7d2264
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-imf-fp64.o -output=/home/u177770/tmp/icpx-7d2264/libsycl-imf-fp64-626bc4.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-7d2264
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-fallback-cassert.o -output=/home/u177770/tmp/icpx-7d2264/libsycl-fallback-cassert-bbbfee.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-7d2264
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-fallback-cstring.o -output=/home/u177770/tmp/icpx-7d2264/libsycl-fallback-cstring-9fbd61.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-7d2264
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-fallback-complex.o -output=/home/u177770/tmp/icpx-7d2264/libsycl-fallback-complex-4a3a54.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-7d2264
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-fallback-complex-fp64.o -output=/home/u177770/tmp/icpx-7d2264/libsycl-fallback-complex-fp64-b99885.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-7d2264
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-fallback-cmath.o -output=/home/u177770/tmp/icpx-7d2264/libsycl-fallback-cmath-3f89a4.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-7d2264
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-fallback-cmath-fp64.o -output=/home/u177770/tmp/icpx-7d2264/libsycl-fallback-cmath-fp64-e5f94d.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-7d2264
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-fallback-imf.o -output=/home/u177770/tmp/icpx-7d2264/libsycl-fallback-imf-7ed2b3.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-7d2264
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-fallback-imf-fp64.o -output=/home/u177770/tmp/icpx-7d2264/libsycl-fallback-imf-fp64-30d7a2.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-7d2264
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-itt-user-wrappers.o -output=/home/u177770/tmp/icpx-7d2264/libsycl-itt-user-wrappers-b5b4d4.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-7d2264
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-itt-compiler-wrappers.o -output=/home/u177770/tmp/icpx-7d2264/libsycl-itt-compiler-wrappers-a032ad.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-7d2264
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-spir64_fpga-unknown-unknown -input=/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/../lib/libsycl-itt-stubs.o -output=/home/u177770/tmp/icpx-7d2264/libsycl-itt-stubs-682517.o -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-7d2264
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/llvm-link" -only-needed /home/u177770/tmp/icpx-7d2264/fp2bin-ce7ec9.bc /home/u177770/tmp/icpx-7d2264/libsycl-crt-3ba8e7.o /home/u177770/tmp/icpx-7d2264/libsycl-complex-6b49e0.o /home/u177770/tmp/icpx-7d2264/libsycl-complex-fp64-f7322a.o /home/u177770/tmp/icpx-7d2264/libsycl-cmath-1d4667.o /home/u177770/tmp/icpx-7d2264/libsycl-cmath-fp64-425b11.o /home/u177770/tmp/icpx-7d2264/libsycl-imf-44cfcb.o /home/u177770/tmp/icpx-7d2264/libsycl-imf-fp64-626bc4.o /home/u177770/tmp/icpx-7d2264/libsycl-fallback-cassert-bbbfee.o /home/u177770/tmp/icpx-7d2264/libsycl-fallback-cstring-9fbd61.o /home/u177770/tmp/icpx-7d2264/libsycl-fallback-complex-4a3a54.o /home/u177770/tmp/icpx-7d2264/libsycl-fallback-complex-fp64-b99885.o /home/u177770/tmp/icpx-7d2264/libsycl-fallback-cmath-3f89a4.o /home/u177770/tmp/icpx-7d2264/libsycl-fallback-cmath-fp64-e5f94d.o /home/u177770/tmp/icpx-7d2264/libsycl-fallback-imf-7ed2b3.o /home/u177770/tmp/icpx-7d2264/libsycl-fallback-imf-fp64-30d7a2.o /home/u177770/tmp/icpx-7d2264/libsycl-itt-user-wrappers-b5b4d4.o /home/u177770/tmp/icpx-7d2264/libsycl-itt-compiler-wrappers-a032ad.o /home/u177770/tmp/icpx-7d2264/libsycl-itt-stubs-682517.o -o /home/u177770/tmp/icpx-7d2264/fp2bin-3950b0.bc --suppress-warnings
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin/sycl-post-link" -emit-only-kernels-as-entry-points -emit-param-info -symbols -emit-exported-symbols -split-esimd -lower-esimd -O2 -spec-const=default -device-globals -o /home/u177770/tmp/icpx-7d2264/fp2bin-3f006a.table /home/u177770/tmp/icpx-7d2264/fp2bin-3950b0.bc
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/file-table-tform" -extract=Code -drop_titles -o /home/u177770/tmp/icpx-7d2264/fp2bin-210d6d.txt /home/u177770/tmp/icpx-7d2264/fp2bin-3f006a.table
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/llvm-foreach" --in-file-list=/home/u177770/tmp/icpx-7d2264/fp2bin-210d6d.txt --in-replace=/home/u177770/tmp/icpx-7d2264/fp2bin-210d6d.txt --out-ext=spv --out-file-list=/home/u177770/tmp/icpx-7d2264/fp2bin-10e428.txt --out-replace=/home/u177770/tmp/icpx-7d2264/fp2bin-10e428.txt --out-dir=/home/u177770/tmp/icpx-7d2264 -- /glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/llvm-spirv -o /home/u177770/tmp/icpx-7d2264/fp2bin-10e428.txt -spirv-max-version=1.3 -spirv-debug-info-version=ocl-100 -spirv-allow-extra-diexpressions -spirv-allow-unknown-intrinsics=llvm.genx. -spirv-ext=-all,+SPV_EXT_shader_atomic_float_add,+SPV_EXT_shader_atomic_float_min_max,+SPV_KHR_no_integer_wrap_decoration,+SPV_KHR_float_controls,+SPV_KHR_expect_assume,+SPV_KHR_linkonce_odr,+SPV_INTEL_subgroups,+SPV_INTEL_media_block_io,+SPV_INTEL_device_side_avc_motion_estimation,+SPV_INTEL_fpga_loop_controls,+SPV_INTEL_unstructured_loop_controls,+SPV_INTEL_fpga_reg,+SPV_INTEL_blocking_pipes,+SPV_INTEL_function_pointers,+SPV_INTEL_kernel_attributes,+SPV_INTEL_io_pipes,+SPV_INTEL_inline_assembly,+SPV_INTEL_arbitrary_precision_integers,+SPV_INTEL_float_controls2,+SPV_INTEL_vector_compute,+SPV_INTEL_fast_composite,+SPV_INTEL_joint_matrix,+SPV_INTEL_arbitrary_precision_fixed_point,+SPV_INTEL_arbitrary_precision_floating_point,+SPV_INTEL_variable_length_array,+SPV_INTEL_fp_fast_math_mode,+SPV_INTEL_long_constant_composite,+SPV_INTEL_arithmetic_fence,+SPV_INTEL_global_variable_decorations,+SPV_INTEL_task_sequence,+SPV_INTEL_optnone,+SPV_INTEL_usm_storage_classes,+SPV_INTEL_runtime_aligned,+SPV_INTEL_fpga_cluster_attributes,+SPV_INTEL_loop_fuse,+SPV_INTEL_fpga_buffer_location,+SPV_INTEL_fpga_invocation_pipelining_attributes,+SPV_INTEL_fpga_dsp_control,+SPV_INTEL_fpga_memory_accesses,+SPV_INTEL_fpga_memory_attributes,-SPV_INTEL_optnone /home/u177770/tmp/icpx-7d2264/fp2bin-210d6d.txt
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-fpga_dep -input=CMakeFiles/optPricer.profile.dir/fp2bin.cpp.o -output=/home/u177770/tmp/icpx-7d2264/fp2bin.cpp-1804d1.d -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-7d2264
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-fpga_dep -input=CMakeFiles/optPricer.profile.dir/generic_fifo.cpp.o -output=/home/u177770/tmp/icpx-7d2264/generic_fifo.cpp-b0a006.d -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-7d2264
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-fpga_dep -input=CMakeFiles/optPricer.profile.dir/inverse.cpp.o -output=/home/u177770/tmp/icpx-7d2264/inverse.cpp-03513b.d -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-7d2264
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-fpga_dep -input=CMakeFiles/optPricer.profile.dir/lin_alg_gen.cpp.o -output=/home/u177770/tmp/icpx-7d2264/lin_alg_gen.cpp-b5cb32.d -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-7d2264
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/clang-offload-bundler" -type=o -targets=sycl-fpga_dep -input=CMakeFiles/optPricer.profile.dir/opt_pricer_refactored.cpp.o -output=/home/u177770/tmp/icpx-7d2264/opt_pricer_refactored.cpp-942794.d -unbundle -allow-missing-bundles -base-temp-dir=/home/u177770/tmp/icpx-7d2264
"/glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/bin-llvm/llvm-foreach" --out-ext=aocx --in-file-list=/home/u177770/tmp/icpx-7d2264/fp2bin-10e428.txt --in-replace=/home/u177770/tmp/icpx-7d2264/fp2bin-10e428.txt --out-file-list=/home/u177770/tmp/icpx-7d2264/fp2bin-facfea.aocx --out-replace=/home/u177770/tmp/icpx-7d2264/fp2bin-facfea.aocx --out-increment=../optPricer.profile.prj -- /glob/development-tools/versions/oneapi/2023.0.1/oneapi/compiler/2023.0.0/linux/lib/oclfpga/bin/aoc -o /home/u177770/tmp/icpx-7d2264/fp2bin-facfea.aocx /home/u177770/tmp/icpx-7d2264/fp2bin-10e428.txt -sycl -dep-files=/home/u177770/tmp/icpx-7d2264/fp2bin.cpp-1804d1.d,/home/u177770/tmp/icpx-7d2264/generic_fifo.cpp-b0a006.d,/home/u177770/tmp/icpx-7d2264/inverse.cpp-03513b.d,/home/u177770/tmp/icpx-7d2264/lin_alg_gen.cpp-b5cb32.d,/home/u177770/tmp/icpx-7d2264/opt_pricer_refactored.cpp-942794.d -output-report-folder=../optPricer.profile.prj -g -hardware -profile -clock=250MHz -board=/opt/intel/oneapi/intel_s10sx_pac:pac_s10 -reuse-exe=/home/u177770/american-asset-pricer-gold/build/optPricer.profile
warning: -reuse-exe file '/home/u177770/american-asset-pricer-gold/build/optPricer.profile' not found; ignored
Error: Unable to rewrite SYCL IR file

llvm-foreach:
icpx: error: fpga compiler command failed with exit code 1 (use -v to see invocation)

 

 

My suspicion is that it is due to the difference in the targets

 

clang-offload-bundler -type=ao -targets=host-x86_64-unknown-linux-gnu -input=/home/u177770/gsl/lib/libgsl.a -check-section -base-temp-dir=/home/u177770/tmp/icpx-458e49
clang-offload-bundler -type=o -targets=sycl-spir64-unknown-unknown -input=CMakeFiles/optPricer.profile.dir/fp2bin.cpp.o -check-section -base-temp-dir=/home/u177770/tmp/icpx-458e49

 

Any help appreciated.

0 Kudos
1 Reply
SantoshY_Intel
Moderator
541 Views

Hi,


Thanks for posting in Intel communities.


Since this is a duplicate thread of <https://community.intel.com/t5/Intel-oneAPI-Data-Parallel-C/Error-Unable-to-rewrite-SYCL-IR-file/td-p/1457261>, we will no longer monitor this thread. We will continue addressing this issue in the other thread.


Thanks & Regards,

Santosh


0 Kudos
Reply