Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++
12603 Discussions

Controlling HPS pins from FPGA using Cyclone 5

Altera_Forum
Honored Contributor II
1,085 Views

Hi, 

 

I am trying to blink a LED which is connected to the HPS from a button connected on the FPGA. I have built the Qsys design and Loaned the I/O desired pins to the top level entity, then by setting the loan_io_oe[x] to 1 will let the connection be as an output and could be controlled by the loan_io_out[x], however I get no response. When doing the opposite procedure it works!! by letting a button connected to HPS to control a LED connected to FPGA. 

 

So what could be the problem here? I have been stuck for days on it. 

 

Thanks, 

Yazen
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
354 Views

Hi, do you update the preloader on the device? Note that the Pin Mux for the IO is located within the HPS - as such you will need to update the preloader once you set a Loan I/O to the HPS.

0 Kudos
Reply