Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++
12612 Discussions

Error accessing memory address

Altera_Forum
Honored Contributor II
1,147 Views

Hello everyone!First ,I should thanks Fischer for give me advice .now I have a problem need someone's help. 

the problem is : 

The execution of program is suspended because of error. 

 

Warning: 

Cannot insert breakpoint 1. 

Error accessing memory address 0x100034c: (undocumented errno -1). 

 

Cannot insert breakpoint 3. 

Error accessing memory address 0x1000358: (undocumented errno -1). 

 

this problem appears whem I debug the software on my hardware.please do me a favor.Thank you very much!!!!!
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
412 Views

you should tell people a little more about your system 

 

- NIOS VERSION ? 

- QUARTUS VERSION ? 

- DEVELOPMENT BOARD ? 

is sample program running ? 

- CUSTOM BOARD ? 

- do you use external flash ,ram ? 

- is a NIOS sample program running ? 

- is a small testprogram runnning from onchip ram ?  

- does programming works ? 

- does a program from flash works ?
0 Kudos
Altera_Forum
Honored Contributor II
412 Views

My tools are: 

quartus4.2+niosII 1.1;stratix1s10 develop board;I make my own hardware image ,download it to stratix fpga, set up a software program,debug it in NIosII IDE. 

use external flash,sram,eternet,sdram and on_chip ram....;data memory and progrm memory is sdram,which base address is 0x0100000. 

In my program the function of printf can't work too. 

you ask that "is a small testprogram runnning from onchip ram ? ". 

I don't know . 

my program dosen't work.the program dosen't work from flash.
0 Kudos
Altera_Forum
Honored Contributor II
412 Views

"...I make my own hardware image ..." 

 

does the board work with a predefined hardware and software  

sample from altera ? could you debug, program such a sample ?  

 

 

I only know the cyclone board, but I think it should be the same, 

to check if your problem is perhaps the sdram, try to run 

 

- your program from sram,  

- a small testprogram fron onchip ram 

 

check the settings of your cpu in sopc builder. 

- reset address: flash 

- exception address: onchip memory (in my case) 

 

in system library set program and date memory to 

sram or onchipmemory.
0 Kudos
Reply