Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++
12612 Discussions

Is any problem with User Component Editor

Altera_Forum
Honored Contributor II
859 Views

My develop kit is Quartus4.2 with NiosII1.1. 

Can the User Component Editor generate a bidirectional export port?? 

 

I have wrote a Verilog program, and in the program there is an "inout" port. 

But after I have imported it into the User Component Editor, it can't recognise the "inout" port, and consider it an "input" port. 

And I had done the same experiment with a Verilog program of bidirectional PIO generated by SOPC Builder, I got the same result !!! 

 

Can anybody tell me what's wrong with the SOPC Builder??
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
190 Views

I had the same problem. I've defined my bidirectional port as input export in component editor and than i've associated to it a bidirectional pin. It works.

0 Kudos
Altera_Forum
Honored Contributor II
190 Views

My solution is to put the avalon signals directly out the ports, and then I connect my module to the avalon bus outside. And it works well. 

 

But I think that is it a bug in the SOPC Builder?? Can anyone of Altera answer me this question??
0 Kudos
Reply