Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++
12590 Discussions

Ram 2-port connect to nios

Altera_Forum
Honored Contributor II
1,249 Views

Hello! 

 

I am new in qsys. I need to connect my nios processor with ram 2-port block in fpga in read/write mode. 1 port will have an access from fpga logic, second connects to nios. What kind of bridge i need to use?
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
457 Views

The easiest thing to do is just add this from the IP Catalog in Qsys. Connect one port to Nios and export the other port to connect to the rest of your design outside your Qsys system.

0 Kudos
Reply