Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++

access to custom component

Altera_Forum
Honored Contributor II
907 Views

Hello! 

I'm a new user of NiosII. 

Please help me, 

How can I access "my own component" I/O ports in Nios IDE? 

What I should write in file "*_regs.h"? 

How address and offset calculates? 

 

Thanks!
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
209 Views

[You should look up in Sopcbuilder manual!

0 Kudos
Reply