Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20786 Discussions

Always block in Modelsim

Altera_Forum
Honored Contributor II
1,222 Views

Hi everyone, 

 

I have 2 Always blocks, but one of them does not run. Can you help me make it run? 

always begin // Code runs end always begin // Code does not run end
0 Kudos
4 Replies
Altera_Forum
Honored Contributor II
430 Views

Maybe defining sensitivity list may help you out: 

always@(*)
0 Kudos
Altera_Forum
Honored Contributor II
430 Views

Which block I defined sensitivity list, it does not run. :confused:

0 Kudos
Altera_Forum
Honored Contributor II
430 Views

you are using the "always" block in a wrong way.

0 Kudos
Altera_Forum
Honored Contributor II
430 Views

Always blocks will be evaluated by Modelsim according to Verilog rules. Because you didn't post any actual code, we could only guess about the specific problem. But this isn't a riddle forum. 

 

For the time being, I want to suggest the classical Cummings paper that addresses many problems around always blocks. 

http://csg.csail.mit.edu/6.375/6_375_2007_www/papers/cummings-nonblocking-snug99.pdf
0 Kudos
Reply