Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20711 Discussions

read_source_data ERROR

Altera_Forum
Honored Contributor II
850 Views

Hello, 

 

I'm a beginner in tcl programming. 

 

I try to use In System Signal Source & Probe (ISSP) by a tcl sript.  

 

At first, I start the ISSP by the command start_insystem_source_probe then I ask the list of available Sources and Probe. It works fine.  

 

But when I want to read the value by the command "exec quartus_stp --tcl_eval read_probe_data -instance_index 0" I have a ERROR message which is ERROR The ISSP instance was not started. THis command cannot be used unless the ISSP trasaction is started. 

 

I don't understand why because the ISSP has been préviously started. 

 

Maybe someone could help me. 

 

Thanks 

 

Pierre
0 Kudos
0 Replies
Reply