Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16614 Discussions

SOPC builder problem with new component creation

Altera_Forum
Honored Contributor II
1,361 Views

Did anybody met the problem with creation of new component in SOPC builder, when component has been created in Verilog HDL using the 'include option to attach some other modules? When I try to create this component with sdding the HDL description, I got an error (something like 'can't create temp dir'). If all modules are included in the same .v file, everything is OK. I met this problem starting from ver 6.0. In SOPC builder 5.0 it worked well. 

Regards, 

Andrey
0 Kudos
4 Replies
Altera_Forum
Honored Contributor II
627 Views

My guess is that's the correct behavior, as it is actually going through full analysis(but not synthesis) in order to do the component. If you include something, then it needs to be read in to make sure the component is correct. For example, if you include a variable, and and `ifdef is based on what's included to determine what ports are in the component. I know that's probably very uncommon, but I believe that's the safest way to do it(i.e. analyze the design just like synthesis will...)

0 Kudos
Altera_Forum
Honored Contributor II
627 Views

Yes, it's true, it is very unsuitable to glue a lot of files in single text before component generation. But problem, as I understand it, relates to unsuccessfull creation of temporary directory to glue the "included" files with the root file. May be it is due to some wrong settings in WinXP safety system or too long filenames?

0 Kudos
Altera_Forum
Honored Contributor II
627 Views

 

--- Quote Start ---  

But problem... relates to unsuccessfull creation of temporary directory.... May be it is due to some wrong settings in WinXP safety system or too long filenames? 

--- Quote End ---  

 

 

 

What Quartus version are you using now? Have you ever used another Quartus version since the one you are using now was installed? Changing between versions can cause strange behavior. See http://www.altera.com/support/kdb/rd03082007_165.html

 

 

My pathnames tend to be long. Once in a great while that causes me a problem in Quartus (not necessarily with SOPC Builder in particular). Make a copy of your project at a very short pathname and try the operation there. (Hopefully none of your files contain absolute pathnames that would complicate this test.) 

 

Make sure you have no space in your project pathname. 

 

 

Some thoughts based on the "WinXP safety system" question: 

 

I wonder where the temporary directory is supposed to be created. I don't remember ever catching Quartus create a temporary directory under the project directory. There is another Quartus feature that creates a temporary directory at the location in the HOMEPATH environment variable (usually C":\Documents and Settings\<USERNAME>"). Maybe this SOPC Builder directory is in a place like that. Another possibility is the directory at the TEMP environment variable (usually "C:\Documents and Settings\<USERNAME>\Local Settings\Temp"). 

 

Some things in Quartus require you to have administrator privileges. If you don't, maybe that kept Quartus from being able to create a directory where the temporary directory is supposed to be.
0 Kudos
Altera_Forum
Honored Contributor II
627 Views

It seems to me that problem has been fixed. 

 

1. All `include directives should be REMoved and should serve as for memory reminder 

2. All previously included files with instantiating modules should be directly added in current project via PROJECT main menu item. 

3. Create component just with 'root' verilog file and other modules will be linked due to project setup. 

 

I just test it with SOPC builder v.7.0. 

Andrey
0 Kudos
Reply