Intel® High Level Design
Support for Intel® High Level Synthesis Compiler, DSP Builder, OneAPI for Intel® FPGAs, Intel® FPGA SDK for OpenCL™
663 Discussions

No device of requested type available

longgnol
Beginner
844 Views

Hello,

I have installed OneAPI and Quartus pro23.3 on Ubuntu 22.04LTS, and I have download oneAPI-samples from github.

According to the tutorial, I cd the directory "oneAPI-samples/DirectProgramming/C++SYCL_FPGA/Tutorials/GettingStarted/fpga_compile/part2_dpcpp_functor_usm", then

source /opt/intel/oneapi/setvars.sh

export PATH=$PATH:[the path of quartus]

mkdir build && cd build

cmake ..

When I make fpga_emu and run vector_add.fpga_emu, There is no problem.

longgnol_0-1709169359796.pnglonggnol_1-1709169392716.png

But when I run vector_add.fpga_sim or vector_add.fpga, the following error has occured:

longgnol_2-1709169548251.pnglonggnol_3-1709169578704.pnglonggnol_4-1709169624805.png
longgnol_5-1709169648606.png

I don't know how to solve this problem, and I hope to get your help.

Thanks!

0 Kudos
9 Replies
aikeu
Employee
814 Views

Hi longgnol,


I think you will need to run through board initialization first.


Can refer to the related steps as below:


https://www.intel.com/content/www/us/en/docs/oneapi/programming-guide/2023-0/fpga-board-initialization.html


Thanks.

Regards,

Aik Eu


0 Kudos
longgnol
Beginner
799 Views

Thank you for your reply.

 

longgnol_2-1709280792989.png

The document says that Board ID obtained from the aocl diagnose command. 

I run aocl diagnose command, and I get the following result:

longgnol_3-1709280864650.png

longgnol_4-1709280929444.png

Is the error because I am missing any software packages?

My card is Agilex DK-DEV-AGI027RES.

 

0 Kudos
aikeu
Employee
736 Views
0 Kudos
longgnol
Beginner
724 Views

Hi aikeu,

My research topic is based on the CPU-FPGA heterogeneous architecture. As an accelerator, FPGA needs to read data from the Host, perform calculations, and then write the results back to the Host.
Can IP authoring flow meet these requirements?
0 Kudos
aikeu
Employee
684 Views

Hi longgnol,


Yes, you can write the code that can interact between FPGA and CPU in IP Authoring.

IPA flow have more library that oneAPI created for algebra related use case

https://www.intel.com/content/www/us/en/docs/oneapi/programming-guide/2023-2/fpga-cpu-interaction.html


Thanks.

Regards,

Aik Eu


0 Kudos
longgnol
Beginner
674 Views

Hi aikeu,

Does this card Agilex DK-DEV-AGI027RES have a corresponding BSP?

And where am I going to download its BSP?  I couldn't find the download link on the official website.

Thanks!

0 Kudos
aikeu
Employee
646 Views

Hi longgnol,


For IP authoring, it will not require BSP for Agilex. Only S10 and A10 need the BSP.


Thanks.

Regards,

Aik Eu


0 Kudos
aikeu
Employee
541 Views

Hi longgnol,


I will close this thread if no further question.


Thanks.

Regards,

Aik Eu


0 Kudos
aikeu
Employee
501 Views

Hi longgnol,


I am closing the thread for now as we do not receive any response from you on the previous question/reply/answer that we have provided. Please login to ‘https://supporttickets.intel.com’, view details of the desire request, and post a feed/response within the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you on your follow-up questions.


p/s: If any answer from the community or Intel Support are helpful, please feel free to give best answer or rate 4/5 survey.


Thanks.

Regards,

Aik Eu


0 Kudos
Reply