Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16612 Discussions

Quartus Prime Pro 23.4 internal error during fit when module marked as a partition

DerekNH
Beginner
845 Views

I am working to package my portion of a Stratix 10 design so that it can be used as a "finalized" partition within a larger FPGA implementation. However, when I mark my top level module as a partition, Quartus crashes with an internal error 13% of the way through the fitter place stage. Without the partition, implementation is successful. With a logic lock region for my top level module but no partition, implementation is also successful.

Any suggestions how I can avoid the internal error?

Details:

Quartus Pro v23.4 (also crashes on v23.3 but I upgraded to the latest to check if resolved)
Install-default Quartus settings
Stratix 10, my portion of the design uses ~15% of device resources
Ubuntu 20.04.3 LTS
AMD Ryzen thread-ripper 3970x 32-core
256 GB

Crash log attached

Labels (1)
0 Kudos
1 Solution
roeekalinsky
Valued Contributor I
814 Views

@DerekNH,

 

If you have Quartus Pro version 23.2 or earlier handy, I'd be curious to know if the issue also appears there or not.

 

Versions 23.3 and 23.4 use "DNI", a new internal database framework, which introduced other new bugs related to partition functionality.  See:

 

https://community.intel.com/t5/Intel-Quartus-Prime-Software/Bug-Import-of-QDB-file-partition-fails-in-Quartus-Pro-versions/m-p/1554546 

View solution in original post

0 Kudos
11 Replies
roeekalinsky
Valued Contributor I
815 Views

@DerekNH,

 

If you have Quartus Pro version 23.2 or earlier handy, I'd be curious to know if the issue also appears there or not.

 

Versions 23.3 and 23.4 use "DNI", a new internal database framework, which introduced other new bugs related to partition functionality.  See:

 

https://community.intel.com/t5/Intel-Quartus-Prime-Software/Bug-Import-of-QDB-file-partition-fails-in-Quartus-Pro-versions/m-p/1554546 

0 Kudos
DerekNH
Beginner
800 Views

Indeed, Quartus Pro 23.2 is able to complete implementation of my design even when marked as a partition (and placed in a logic lock region).  HOWEVER, I am not sure that 23.2 is actually "DNI"-free.  I see the message below during my from-RTL synthesis and fit.

Info: Running Quartus Prime Synthesis
Info: Version 23.2.0 Build 94 06/14/2023 SC Pro Edition
Info: Processing started: Fri Mar 1 11:28:22 2024
Info: System process ID: 978588
Info: Command: quartus_syn --dni --read_settings_files=on --write_settings_files=off apipe_top -c apipe_top
Info: The application is running in 'DNI' mode.

Thx for the suggestion.


  

0 Kudos
roeekalinsky
Valued Contributor I
782 Views

Interesting result.

 

Re 23.2 and DNI, my previous understanding was that 23.2 included DNI as an option, presumably for testing, but also still included the legacy framework which was used by default unless the tool is invoked with the --dni switch explicitly.  Looks like maybe it's not as simple as that.  Did your project scripts include the --dni switch, perhaps a carryover from your previous work on the later versions?  Or did 23.2 just spontaneously enable DNI?

 

Either way, I'm glad you were able to get through the flow in 23.2, so you at least have a working solution in the interim.  And thanks for trying it, and for reporting your findings.

 

Now hopefully the Intel folks can jump in here, chase down the bug you discovered in 23.3 & 23.4, and get it fixed in a coming release.

0 Kudos
DerekNH
Beginner
769 Views

Just to follow up ...

 

My scripts at this point are quite bare bones so as to run Quartus in its most mainstream (ie. bug free?) configuration.  There is nothing in them to steer either toward or away from DNI ...

 

Thx,

Derek

0 Kudos
roeekalinsky
Valued Contributor I
754 Views
0 Kudos
SyafieqS
Moderator
769 Views

Derek,


I need the design if possible to root cause this.

Seem DNI issue is getting well known.

Or any small design that replicate the issue.


0 Kudos
SyafieqS
Moderator
665 Views

Let me know if there is any update from previous reply.


0 Kudos
DerekNH
Beginner
648 Views

I am unable to provide the code in its current state due to IP concerns but am working to put together a reduced form which still exhibits the issue.

 

Thx,

Derek

0 Kudos
SyafieqS
Moderator
575 Views

Understood. Is 23.2 earlier is feasible for current development?

I would suggest to use to as workaround.

It is hard if there no design to replicate to root cause the issue and would be better if you are able to provide.



0 Kudos
DerekNH
Beginner
476 Views

Is there a flow by which we can provide a testcase privately and under NDA?  I have been unable to simplify the test case in a way which reproduces the error and does not have proprietary code.

 

Otherwise, yes, we will use 23.2 as a workaround.

 

Thanks so much,

Derek

0 Kudos
SyafieqS
Moderator
506 Views

 As we do not receive any response from you on the previous question/reply/answer that we have provided. Please login to https://supporttickets.intel.com/, view details of the desire request, and post a feed/response within the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you on your follow-up questions.


p/s: If any answer from community or Intel support are helpful, please feel free to mark as solution, give Kudos and rate 5/5 survey


0 Kudos
Reply