Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++
12606 Discussions

Error (176400): Following nodes require the same Clock Control Block

Altera_Forum
Honored Contributor II
1,478 Views

Error (176400): Following nodes require the same Clock Control Block CLKCTRL_G0 

Error (176404): Node"altgx_master:gxb_a_b_c_d|altgx_serdes:gxb_cd|altgx_serdes_alt_c3gxb:altgx_serdes_alt_c3gxb_component|wire_transmit_pcs1_clkout" is currently placed at location TXPCS_X0_Y24_N9 with a Global Signal type of Auto 

Error (176404): Node "altgx_master:gxb_a_b_c_d|altgx_serdes:gxb_cd|altgx_serdes_alt_c3gxb:altgx_serdes_alt_c3gxb_component|wire_receive_pcs0_clkout" is currently placed at location RXPCS_X0_Y18_N8 with a Global Signal type of Global Clock 

Error (176400): Following nodes require the same Clock Control Block CLKCTRL_G4 

Error (176404): Node "altgx_master:gxb_a_b_c_d|altgx_serdes:gxb_ab|altgx_serdes_alt_c3gxb:altgx_serdes_alt_c3gxb_component|wire_receive_pcs0_clkout" is currently placed at location RXPCS_X0_Y5_N8 with a Global Signal type of Global Clock 

Error (176404): Node "altgx_master:gxb_a_b_c_d|altgx_serdes:gxb_cd|altgx_serdes_alt_c3gxb:altgx_serdes_alt_c3gxb_component|wire_receive_pcs1_clkout" is currently placed at location RXPCS_X0_Y24_N8 with a Global Signal type of Global Clock 

Error (176399): Following nodes use the same resource INTERQUAD_TXRX_CLK_X0_Y18_N0_I1 

Error (176404): Node "altgx_master:gxb_a_b_c_d|altgx_serdes:gxb_cd|altgx_serdes_alt_c3gxb:altgx_serdes_alt_c3gxb_component|wire_transmit_pcs1_clkout" is currently placed at location TXPCS_X0_Y24_N9 with a Global Signal type of Auto 

Error (176404): Node "altgx_master:gxb_a_b_c_d|altgx_serdes:gxb_ab|altgx_serdes_alt_c3gxb:altgx_serdes_alt_c3gxb_component|wire_receive_pcs1_clkout" is currently placed at location RXPCS_X0_Y11_N8 with a Global Signal type of Global Clock 

 

 

 

I have used the cycloneIV, it seemed that the global clock resource is not enough to finished the fitter. can anybody to help me to solve this question? 

thanks a lot!!
0 Kudos
0 Replies
Reply