Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers

Xilinx Vs Altera

Altera_Forum
Honored Contributor II
4,077 Views

Hi, 

 

I like to know the exact comparision between Xilinx and Altera. I have already downloaded the AN:307 which covers the differences between Xilinx and Altera with respect to tool flow. 

 

But I need to know the indepth details like the files that are generated for each and every process....  

 

For Example  

 

In Xilinx after Synthesis it genrates a file .ngc ....  

 

what would be the exact match for .ngc file in Altera .???/  

 

 

Similarly I like to know the file comparision between Xilinx and Altera.. at each phase of operation ... Is there any document mentioning the exact differeces between these two tools..  

 

Please let me know ....  

 

Expecting an early reply...  

 

 

Thanks, 

Sri
0 Kudos
9 Replies
Altera_Forum
Honored Contributor II
1,666 Views

X tools are much more compartmentalized, i.e. each module has inputs and outputs. Quartus has a database with files that multiple modules can access. It allows for some nice features(like cross-probing, i.e. I can locate paths in TimeQuest directly to the Chip Planner, or locate it to the Assignment Editor and put a Max Fanout constraint).

0 Kudos
Altera_Forum
Honored Contributor II
1,666 Views

Hello, 

 

One important thing of XILINX is that it works under almost all Operative Systems. 

 

If I am not wrong, Quartus for Linux has many problems. 

 

Another interestant FPGA provider is ACTEL.  

 

The technology antifuse of ACTEL is very interestant. Also its power consumption and its fiability. 

 

Anyway if you choose Xilinx, Altera or Actel, you should try to do a system portable. I mean that you can change the FPGA easily.  

 

 

Here is a little comparation of some FPGA's: 

 

Bye.
0 Kudos
Altera_Forum
Honored Contributor II
1,666 Views

Hi, 

 

Thanks a lot for your time. Could you please add few more points regarding the difference between Xilinx and Altera... With respect to the files they generate ....  

 

Like For Example :- 

 

Process Xilinx Altera 

 

Synthesis .ngc ?? 

 

PAR .ncd ?? 

 

Programming .bit .sof  

 

Please let me know the file formats.... I left in ?? symbol.... 

 

Thanks 

Srinath.
0 Kudos
Altera_Forum
Honored Contributor II
1,666 Views

That's the thing, there is no .ngc/.ncd equivalent. Everything is stored in multiple files in the /db. If I remember correctly, the .ngc and .ncd are binary files that you don't actually read or do anything, you just use them as inputs into your next step. In the Altera flow(GUI or script), you just run the next step and don't have to specify the input. 

Can you elaborate what you're trying to do? Just trying to find the equivalent files isn't necessary, and it's probably better to understand the goal and explain how that's done in Altera tools.
0 Kudos
Altera_Forum
Honored Contributor II
1,666 Views

I use both Xilinx and Altera. From a user point of view the big difference with Altera is that you just don't concern yourself with intermediate generated files. The only generated files I generally concern myself with are: 

1 - files generated by the IP cores. These are normally just generated Verilog or VHDL files and constraint files (SDC files).  

2 - Programming files (SOF,POF, RBF); similar to the .BIT files that ISE gives. 

3 - The Quartus settings file (QSF) which contains all the settings for the project. 

4 - If you are using SoPC builder (Altera's version of EDK), then you also want to keep track of the .sopcinfo file. 

 

My personal opinion is that it's quite nice. Particularly regarding the IP cores. For example, try generating a simple RAM block in ISE with CoreGen and you get this mountain of useless files. With Quartus, you get one file and it's Verilog (or VHDL). You just include that one file as part of your design and you're done. 

 

If you really want something like a comparison between the two tool flows, try this: 

http://www.altera.com/products/software/switching/ise/ise-designers.html 

 

Jake
0 Kudos
Altera_Forum
Honored Contributor II
1,666 Views

i prefer Quartus II Linux myself.

0 Kudos
Altera_Forum
Honored Contributor II
1,666 Views

i also learn it ,thank you !

0 Kudos
Altera_Forum
Honored Contributor II
1,666 Views

I work with altera Ciclone II, quartus II, and i liked it...

0 Kudos
Altera_Forum
Honored Contributor II
1,666 Views

Hello, 

 

I need a recent comparision between Altera families and xilinx families particularly when talkingabout power consumption. 

 

Thanks 

 

 

--- Quote Start ---  

Hello, 

 

One important thing of XILINX is that it works under almost all Operative Systems. 

 

If I am not wrong, Quartus for Linux has many problems. 

 

Another interestant FPGA provider is ACTEL.  

 

The technology antifuse of ACTEL is very interestant. Also its power consumption and its fiability. 

 

Anyway if you choose Xilinx, Altera or Actel, you should try to do a system portable. I mean that you can change the FPGA easily.  

 

 

Here is a little comparation of some FPGA's: 

 

Bye. 

--- Quote End ---  

0 Kudos
Reply