Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16614 Discussions

Installing Quartus on Linux

Altera_Forum
Honored Contributor II
10,364 Views

I am thinking about installing Quartus on Linux (possibly Ubuntu or Fedora). However, both of these are not officially supported by Quartus. I was wondering: 

 

a. is it possible to do so? 

b. do you guys have any tips on how to do that? (i.e. getting USB Blaster to work, ... etc).
0 Kudos
48 Replies
Altera_Forum
Honored Contributor II
1,709 Views

I've just installed Quartus II 7.1 on ubuntu 7.04 and quartus crashes. 

 

I've debugged using LD_DEBUG=files, and last lines show:  

 

18216: file=libnss_mdns.so.2 [0]; needed by quartus [0] 

18216: file=libnss_mdns.so.2 [0]; generating link map 

18216: dynamic: 0xb5db4864 base: 0xb5db2000 size: 0x000029d0 

18216: entry: 0xb5db28b0 phdr: 0xb5db2034 phnum: 4 

18216: 

18216: 

18216: calling init: /lib/libnss_mdns.so.2 

18216: 

18216: opening file=/lib/libnss_mdns.so.2 [0]; direct_opencount=1 

 

So it seems that error is in those libraries... any suggestions to fix it? 

 

what version of libnss_mdns is installed on your running Quartus Linux? 

 

Thanks
0 Kudos
Altera_Forum
Honored Contributor II
1,709 Views

We've been running Quartux X.X on Ubuntu Dapper for over a year now... 

 

It would be nice if Altera would embrace the 'apt-get' way of installing applications - would make running apps in linux as easy as windows. 

 

If I could just type: 

"sudo apt-get install quartus" 

 

and then have quartus show up as a menu item and working that would beat the heck out of tar balls and the like...  

 

Anyway - yes, Quartus works but you have to install it manually, much like Red Hat wants you to...
0 Kudos
Altera_Forum
Honored Contributor II
1,709 Views

I'm having the same problem with 7.1 on Ubuntu 7.04. Quartus 7.0 works pretty well, but 7.1 segfaults immediately on startup. 

 

Has anyone been able to make headway on this? 

 

Thanks, 

Steve
0 Kudos
Altera_Forum
Honored Contributor II
1,709 Views

OK, I'm not sure why, but now Quartus 7.1 is working fine on my Ubuntu 7.04 desktop. 

 

I really don't think I've changed anything to get this to work. It crashed a bunch of times in a row, then started working. 

 

It still always crashes if I start the TimeQuest analyzer gui and selection the tools->options. This failure was also present in Quartus 7.0. Seems to be font related. 

 

If I figure out what changed on my system to make this suddenly start to work I'll post an update. For not it's a mystery.  

 

Rgds, 

Steve
0 Kudos
Altera_Forum
Honored Contributor II
1,709 Views

Is it still working for you? 

 

I'm having no luck with Feisty... segmentation faults on every attempt. 

:eek:
0 Kudos
Altera_Forum
Honored Contributor II
1,709 Views

Hi Jon; 

 

Yes, it's mostly working for me. I can't use the timequest GUI without a seg fault, and I can't open the main settings dialog, but other then that it's working OK. 

 

If you're getting a segfault immediately on startup, try deleting the hidden MainWin config folder in your home directory. I'm not at the computer that has this installed right now, so I'm not 100% sure of the name of this folder, but I think it was something like ~/.mw 

 

When I removed that folder I things started working better for me. 

 

Good luck, 

Steve
0 Kudos
Altera_Forum
Honored Contributor II
1,709 Views

One other option: Try CENTOS. It's really a GPL release for RHEL which is officially support, but you can download it for free.. It works great with all RedHat Enterprise software. 

 

Pete
0 Kudos
Altera_Forum
Honored Contributor II
1,709 Views

Thank you for your help - I just got it working. 

 

I am not sure if this step was or should have been necessary, but the act of installing the service pack for v7.1 made it work. 

 

I had be operating under the assumption that the version I downloaded included the service pack - maybe it didn't or maybe it did... I dunno. 

 

It's working - and that's all I ask for... 

 

As for Centos... we used (in the past) Centos on some servers here... have since moved on to a better place with ubuntu-server installations. Centos, Red Hat and any derivatives including Fedora are not welcome here. 

 

Finally, we've managed to create .deb files out of working installations of Quartus 7.1 - this makes installing Quartus on ubuntu boxes is as simple as point and click - something Red Hat and the like don't and won't support. 

 

I'd share our .deb files with ubuntu fans but I need Altera's permission. Anyone? Altera? 

 

Jon
0 Kudos
Altera_Forum
Honored Contributor II
1,711 Views

I really suggest you asking Altera directly:  

https://mysupport.altera.com/eservice/
0 Kudos
Altera_Forum
Honored Contributor II
1,711 Views

 

--- Quote Start ---  

Thank you for your help - I just got it working. 

 

I'd share our .deb files with ubuntu fans but I need Altera's permission. Anyone? Altera? 

 

Jon 

--- Quote End ---  

 

 

I would be interested in the .deb file if you are willing to share. I can't speak for Altera. I suspect they don't care about the software being given away given the license ($$$) is still required. 

 

If it is possible, please contact me to let me know what the verdict is. 

 

Best Regards, 

Paul
0 Kudos
Altera_Forum
Honored Contributor II
1,711 Views

same here! I'd really like to see those .debs!

0 Kudos
Altera_Forum
Honored Contributor II
1,711 Views

Hi guys. 

 

Ive updated the nioswiki on installing Quartus in Linux. 

http://nioswiki.jot.com/wikihome/operatingsystems/quartusforlinux (http://nioswiki.jot.com/wikihome/operatingsystems/quartusforlinux

 

Ive added a short tutorial on how to do it in Ubuntu. It works fine, so far. 

 

It would be great is someone uses this to make a .deb file.
0 Kudos
Altera_Forum
Honored Contributor II
1,711 Views

Hi, I have successfully run previous releases of Quartus on Slackware, I am now trying to run Quartus II 7.2 on BlueWhite64 Linux (a 64-bit port of Slackware). 

 

I have managed to install Quartus, NIOS, the IP & ModelSim following Altera's instructions for Linux after installing the 32-bit compatibility modules. I also installed patch 0.06 as I am running under KDE. 

 

When I type quartus at the bash prompt, nothing at all happens, not even the splash screen or an error message, it just sits there until I kill it or CTRL-C it. 

 

I have tried with QUARTUS_64BIT set to 1 or 0 

 

I haven't installed the license yet but normaly Quartus runs enough to tell me that I need a license. 

quartus_sh -g at least opens a window. 

 

Any hint on how to start debugging this?
0 Kudos
Altera_Forum
Honored Contributor II
1,711 Views

Hi everybody. 

 

Aalary, I suppose you have already tried to run it twice, but many people, me between them, to being able run Quartus 7.1 SP1, have to type quartus, kill it since nothing happens, and then type it again...Why? I don't know, but everytime I run Quartus after powering-up or even after restarting X server, I need to call it twice from the bash prompt. Anyway, I don't know what can you do to debug it... 

 

I have a kubuntu 7.04 Gnu/Linux distro running on a 32 bit microprocessor. And I have another problem. I've seen people having similar problems, have tried everything read in the forums (removing /.mw hidden dir, removing /.altera/quartus -or something similar i can't remember now-) but nothing happens. I have even tried to install GNOME on my system, change from KDM to GDM, and log in GNOME to run it, but still crashes...  

 

Besides, I need to type quartusII 7.1 twice in the bash prompt since the first one (always after restarting the system or the X server) doesn't launch it. After that, it's launched always in the first attempt, but incidentally the Quartus II window title bar says Quartus II <2> . Any idea about this <2>??? 

 

For some reason, I tend to think it has something to do with fonts, windows management...something graphical definitely. For information, I installed following vishnu350 tutorial, being the only difference between both GNOME or KDE, since we both have an ubuntu base system, kubuntu for me and ubuntu studio for him i think. 

 

When I run Quartus, everything seems to be allright (I can create a project, add a file, edit it...haven't yet compiled it, but it seems allright), except for two menues options.... When I go to Tools->Options or Tools->License Setup, it crashes showing the error message shown in the attachment. 

 

I can work without this two menues, but if i want to add the megacores to the system (instead of doing it in each project) i need it to access Tools->options. And would also like to check my license... 

 

Thanks so much...
0 Kudos
Altera_Forum
Honored Contributor II
1,711 Views

aalary, what's this  

--- Quote Start ---  

"I also installed patch 0.06 as I am running under KDE." 

--- Quote End ---  

 

 

Maybe I can solve my problem (and many others having this seg fault and KDE I talk about in my preceeding post....).
0 Kudos
Altera_Forum
Honored Contributor II
1,711 Views

Hi there 

 

Well the GUI error you are seeing looks similer to a bug I saw a patch for recently.  

 

Check out  

http://www.altera.com/support/kdb/solutions/rd10112007_425.html 

 

This may solve all your problems.
0 Kudos
Altera_Forum
Honored Contributor II
1,711 Views

This looks nice....but for Quartus 7.1. I wonder what about 7.1...!! Maybe I should upgrade.  

 

Anyway in the quartus_unix.pdf found in http://altera.com/literature/manual/quartus_unix.pdf it can be read 

 

 

--- Quote Start ---  

 

To use the Visual MainWin software, which is required to run the Quartus II 

software on Linux workstations, the Linux workstation requires the GNU 

libc libraries (glibc). Table 3 lists the glibc versions required for each version 

of Linux supported by the Quartus II software. 

 

Table 3. Required glibc Version for Linux Workstations 

Red Hat Linux Enterprise 3.0 glibc 2.3.2-95 

Red Hat Linux Enterprise 4.0 glibc 2.3.4-2 

SUSE Linux Enterprise Server 9 glibc 2.3.3-98 

 

The GNU libc libraries are available at the Red Hat Linux website at www.redhat.com. 

 

--- Quote End ---  

 

 

My question is... I have found using the package manager that these libraries are not installed in my system (Kubuntu 7.04). The only references the manager finds are glibc-doc, libdb1-compat (The Berkeley database routines [glibc 2.0/2.1 compatibility]), libg++2.8.1.3-glibc2.2 (The GNU C++ extension library - runtime version) and libstdc++2.10-glibc2.2 (The GNU stdc++ library). 

I suppose i can find these libraries in www.gnu.org. Do you know the link to them? And more important, should i install those libraries? or a compatibility for them? Don't know if i can break the system if using old libraries not event offered in ubuntu official repos.
0 Kudos
Altera_Forum
Honored Contributor II
1,711 Views
0 Kudos
Altera_Forum
Honored Contributor II
1,711 Views

Thanks for the new howto...  

 

I like your clever way to fake out the 'arch' command! 

 

For What It Is Worth... 

Quartus V7.2 works fine on a fresh install of Ubuntu v7.10 (Gutsy) but wasn't working, segfaults, on an upgrade from v7.04 to v7.10. If I have a chance I'll try your linking to the libso file. 

 

For those who have asked for my .deb files in order to do this installation - I still haven't seen Altera give permission for such a sharing of knowledge. I refer you to a google search on how to create .deb files. It's quite easy... so easy that Altera should do it!  

 

What I wouldn't give for an apt-get way to install Quartus.  

 

But alas, we keep floundering around in muck that Red Hat has created... tar balls and the like. Reminds me of those "back to the 90's TV shows". 

 

: )
0 Kudos
Altera_Forum
Honored Contributor II
1,691 Views

I had a clean install, the errors i see are always with menus... 

 

--dalon
0 Kudos
Altera_Forum
Honored Contributor II
1,691 Views

I know this has been asked before.. but the answers aren't working for me. 

 

I am not getting the usb blaster to work on ubuntu 

 

The ubuntu tutorial on the nioswiki is great and I did the whole install using it. 

 

However jtagconfig still says: 

 

No JTAG hardware available 

 

Yes, I have edited /etc/hotplug/usb.usermap (on ubuntu 7.10 it is /etc/hotplug/usb/libmtp.usermap ). 

 

Any ideas? suggestions? 

 

Thanks, 

 

Eli
0 Kudos
Reply