Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

1.8 V Lvcmos

Altera_Forum
Honored Contributor II
1,174 Views

Hi @ all, 

 

I want to use LPDDR RAM which makes 1,8 V LVCMOS outputs necessary. 

In the Pin Assignment Window, I can only choose 1.8 V (without the additional specification LVCMOS). Is this option equal to the 1.8 V LVCMOS standard? 

 

Does anybody know something about this problem? 

 

Regards 

Spitzinger
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
465 Views

 

--- Quote Start ---  

Is this option equal to the 1.8 V LVCMOS standard? 

--- Quote End ---  

 

Yes, surely.
0 Kudos
Altera_Forum
Honored Contributor II
465 Views

You can check the exact characteristics for a given I/O standard in the data sheet for the FPGA in question. 

 

I just looked at a Cyclone IV data sheet, and it actually groups together 1.8V LVTTL and LVCMOS into one item with the same specs. The same is true for 2.5V. For 3.3V or 3.0V, there are different specs listed for LVTTL and LVCMOS.
0 Kudos
Altera_Forum
Honored Contributor II
465 Views

Also switching between 3.3V LVTTL and CMOS doesn't actually change the logic levels. It only changes the calculation of current strength.

0 Kudos
Reply