Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16613 Discussions

Installing Quartus on Linux

Altera_Forum
Honored Contributor II
10,309 Views

I am thinking about installing Quartus on Linux (possibly Ubuntu or Fedora). However, both of these are not officially supported by Quartus. I was wondering: 

 

a. is it possible to do so? 

b. do you guys have any tips on how to do that? (i.e. getting USB Blaster to work, ... etc).
0 Kudos
48 Replies
Altera_Forum
Honored Contributor II
2,584 Views

Yes it is possible to do that, not recomended and not supported, but possible. 

I saw Quartus running on a Brazilian University under Ubuntu and Fedora, but they had some troubles. If you want to use Quartus on Linux and can't use a RedHat or Suse, try CentOS. It has the same source code (because this source is open source) but was not built by RedHat. This is the easiest version without support to install Quartus II.
0 Kudos
Altera_Forum
Honored Contributor II
2,584 Views

Thanks! Although isn't Fedora also sponsored by RedHat? Well.. this might not be the right forum for this... thanks anyways!

0 Kudos
Altera_Forum
Honored Contributor II
2,584 Views

chek this link in the NIOS forum: 

 

http://forum.niosforum.com/forum/index.php?showtopic=5764 

 

Some guys have install quartus on linux (on different distribution) and give some feedback on the way they perform the installation
0 Kudos
Altera_Forum
Honored Contributor II
2,584 Views

Yes, Fedora is from Red Hat. But it is "Red Hat Beta", there is a lot of untested programs and libs there that are not stable and sometimes it really is a problem.

0 Kudos
Altera_Forum
Honored Contributor II
2,584 Views

Installing is no problem at all. And you can run, but you will have trouble with USB-Blaster driver, unless you know how to hack your linux kernel.

0 Kudos
Altera_Forum
Honored Contributor II
2,584 Views

I have Quartus II 7.0 running on a Gentoo Linux box using a stock 2.6.20 kernel. As stated by others, you may run into trouble using the USB Blaster, but that's only a permissions problem. 

 

My system uses udev for hotplug devices, so I created the file "32-altera-rules" in the /etc/udev/rules.d directory. The file looks like this: 

 

==== Cut here ==== 

# udev rules file for Altera USB programming devices (udev >= 0.98) 

#  

ACTION!="add", GOTO="altera_rules_end" 

SUBSYSTEM!="usb_endpoint", GOTO="altera_rules_end" 

 

ATTRS{idVendor}=="09fb", ATTRS{idProduct}=="6001", MODE="0666" 

ATTRS{idVendor}=="09fb", ATTRS{idProduct}=="6002", MODE="0666" 

ATTRS{idVendor}=="09fb", ATTRS{idProduct}=="6003", MODE="0666" 

 

LABEL="altera_rules_end" 

==== Cut here ==== 

 

I then found out that I also need to place a writable file called ".jtagd.conf" in my home directory for the whole thing to work, but after that things ran smoothly. 

 

Best regards, 

 

 

Ben
0 Kudos
Altera_Forum
Honored Contributor II
2,584 Views

Setting up USB-Blaster in SuSE bugged my a long time, finally I gave up and rolled back to RedHat. 

I'll try btwijnst's approach on SuSE again, then put results here.
0 Kudos
Altera_Forum
Honored Contributor II
2,584 Views

Thanks for all the replies! One more quick question, would I need to twick the installation script to get Quartus installed in Ubuntu? I think the Niosforum referred to it, however, I did not quite understand what they were talking about.  

 

I am somewhat of a Linux newbie... I am only moving to Linux because I heard that Nios runs faster on it and also Windows has not been kind to me.  

 

I understand that fixed-pc license is only available for Windows. Is there anyway that I can get a temporary license if I am running it on Linux? I have been running the web edition before. Seeing how Linux is gaining popularity (even Dell has agreed to put Linux on its systems) does Altera have any plan to provide similar trial licenses for Linux user?
0 Kudos
Altera_Forum
Honored Contributor II
2,584 Views

I had Quartus II 6.1 on Linux with 30 days trial. But not Quartus II 7.0. 

Although Altera.com announced as good for 30 days on Linux, I thought might be might problem. And post here to see if someone has the same issue. 

 

If you install Quartus II 6.1, upon starting up there are three options for you, one of them is 30 days trial.
0 Kudos
Altera_Forum
Honored Contributor II
2,584 Views

I did a quick search on Altera's website and saw a solution that might help you: 

 

http://www.altera.com/support/kdb/rd03262007_346.html 

 

I have copied and pasted the solution below: 

 

 

--- Quote Start ---  

Problem  

 

Why does my Quartus II software version 7.0 on UNIX or Linux report a license failure when I have a single license available? 

Solution  

 

For a compilation performed in the user interface, the Quartus® II software version 7.0 on Linux and Solaris incorrectly requires two licenses, or seats, of the "quartus" license feature. If your floating license includes a single available license seat, the software generates the following messages during compilation:  

 

Warning: Additional floating licenses for feature quartus not available -- all available floating licenses are in use 

Error: Current license file does not support the <device name> device 

Error: Quartus II Fitter was unsuccessful. 1 error, 1 warning 

 

To fix this problem in the Quartus II software version 7.0 so that each compilation requires only one license seat, download and install the appropriate patch from one of the following links: 

 

--- Quote End ---  

 

 

The patch can be found online. 

 

Now that's done, does someone have the updated Quartus installation script for Ubuntu?
0 Kudos
Altera_Forum
Honored Contributor II
2,584 Views

There is no Web version for Linux and as I know no plan for it. The possibility you have is to use the 30 days trial, but is you are not planning to buy the lincense I really do not recomend you to do that. Because you are using a not supported Linux, you may have some work on installing it and your use will be limited for 30 days, I think the work you will have may not pay the time you are going to have using it. 

What problems were you having on Windows? I also prefer Linux, but sometimes, I think that's best to start using it while you still have Windows and learn more on Linux before making this change.
0 Kudos
Altera_Forum
Honored Contributor II
2,584 Views

Thank you for you suggestion. 

 

I've been using Quartus II on windows in the past three years. 

 

I do need linux to take some advantage of software development. Any IDE is find under linux and some said it's super under linux, haven't found out yet.
0 Kudos
Altera_Forum
Honored Contributor II
2,584 Views

I'm trying to install Quartus II (7.1) on Ubuntu 7.04, but when I try to run the GUI (in altera7.1/quartus/bin/quartus), it seg faults without giving any meaningful error messages. 

 

Has anyone else experience this, and perhaps found a solution? 

 

The same version of Quartus II ran on Ubuntu 6.06 and 6.10 (with some minor problems if you went into certain menus).
0 Kudos
Altera_Forum
Honored Contributor II
2,584 Views

Hi 

My experience with installation of quartus 7.1 on feisty fawn(Ubuntu 7.04): 

 

00) before everything you have to install tcsh and csh. 

01) cd /bin 

02) mv csh chs_ 

03) ln -sf tcsh csh 

04) cd your_quartus_installation_files 

05) ./install 

06) cd your_devinfo_installation_files 

07) ./install 

08) cd /bin 

09) ln -sf bash sh 

10) export QUARTUS_ROOTDIR=your_quartus_path 

11) cd your_nios2eds_installation_files 

12) ./install 

13) cd /bin 

14) mv csh_ csh 

15) ln -sf dash sh 

16) edit /etc/udev/rules.d/40-permissions.rules and add to the end of this file : 

# Altera USB-Blaster 

BUS=="usb", SYSFS{idVendor}=="09fb", SYSFS{idProduct}=="6001", MODE="0666", SYMLINK+="usbblaster" 

 

 

where : 

your_quartus_installation_files is the path for quartus installation files 

your_devinfo_installation_files is the path for device informatioin installation files 

your_quartus_path = path where you've installed quartus 

 

sometimes, for run quartus, I've to call it twice for get working. 

 

If you get segmentation fault, try to remove your ~/.altera.quartus
0 Kudos
Altera_Forum
Honored Contributor II
2,584 Views

Great Isaac, 

 

Great tutorial for Ubuntu, i will keep a copy of it :-) . 

How are the projects in UFCG? And the design house that was going to be created there? Is it running? I have to make a visit to your lab soon.
0 Kudos
Altera_Forum
Honored Contributor II
2,584 Views

Hello Edwin!!! Our work in UFCG now is more hard.  

We will implement a new project that is really very complex.  

We are working in the DH-CENTENE(the new Design House on Recife-PE) together the group there.  

You will go to the SBCCI in this year? We wait for you in our lab or SBCCI.
0 Kudos
Altera_Forum
Honored Contributor II
2,584 Views

Hi, 

 

Thanks for the instructions. I followed them exactly, but I'm afraid I still get seg faults every time I try to run the GUI (even after removing ~/.altera.quartus). 

 

Cheers, 

 

Seumas
0 Kudos
Altera_Forum
Honored Contributor II
2,584 Views

Try to remove all references of quartus in /tmp of your system. 

Sometimes this files cause seg fault. 

 

Did you start the "lmgrd" license server? 

 

For quartus debug mode, try this in a terminal :  

export LD_DEBUG=files 

and run quartus for more detail
0 Kudos
Altera_Forum
Honored Contributor II
2,584 Views

 

--- Quote Start ---  

I'm trying to install Quartus II (7.1) on Ubuntu 7.04, but when I try to run the GUI (in altera7.1/quartus/bin/quartus), it seg faults without giving any meaningful error messages. 

 

Has anyone else experience this, and perhaps found a solution? 

 

The same version of Quartus II ran on Ubuntu 6.06 and 6.10 (with some minor problems if you went into certain menus). 

--- Quote End ---  

 

 

I have had this same problem. I have tried blowing away the .altera.quartus file and still have the problem also. I loaded it with LD_DEBUG=file and have found that it dies right after opening the /lib/libnss_mdns4.so.2 library. 

 

Does anyone have any ideas or suggestions on how to get around this?
0 Kudos
Altera_Forum
Honored Contributor II
2,403 Views

Of course you can, do not care too much about the offical suggestion. Just do it. I have used the linux version for a long time, every thing is ok.

0 Kudos
Reply