Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16613 Discussions

Quartus doesnt seem to recognize the new library

Altera_Forum
Honored Contributor II
2,653 Views

hi, ive been trying to use the library (ieee_proposed) i got from http://vhdlguru.blogspot.com/2010/03/fixed-point-operations-in-vhdl-tutorial.html in my design, but i get the following error in quartus: 

 

Error (10481): VHDL Use Clause error at TEMP_READER.vhd(9): design library "ieee_proposed" does not contain primary unit "fixed_pkg" 

 

i copied the library file to the library directories of quartus but stil i get errors 

and ive included these line in my design file as instructed... 

 

library ieee_proposed; 

use ieee_proposed.fixed_pkg.all; 

 

can somebody please help? ive attached the library file 

 

regards
0 Kudos
10 Replies
Altera_Forum
Honored Contributor II
855 Views

I normally use a short cut i.e. comment out library anf add pkg to quartus project(add files). 

I love to know more professional way...
0 Kudos
Altera_Forum
Honored Contributor II
855 Views

 

--- Quote Start ---  

I normally use a short cut i.e. comment out library anf add pkg to quartus project(add files). 

I love to know more professional way... 

--- Quote End ---  

 

 

 

Hi Kaz, thanx for your reply, it still doesnt work. maybe i need to add more files...
0 Kudos
Altera_Forum
Honored Contributor II
855 Views

i've successfully used the Altera fixed point packages from here: 

 

http://www.vhdl.org/fphdl/ 

 

i believe i also just added the package to the project, i'll have to check.
0 Kudos
Altera_Forum
Honored Contributor II
855 Views

i am facing the same problem, how can i compile this package into the library "ieee_proposed"???

0 Kudos
Altera_Forum
Honored Contributor II
855 Views

heh i never checked, let me look tonight

0 Kudos
Altera_Forum
Honored Contributor II
855 Views

have you included fixed_pkg_c.vhdl in your design file list?

0 Kudos
Altera_Forum
Honored Contributor II
855 Views

i made it work!  

Thanx...
0 Kudos
Altera_Forum
Honored Contributor II
855 Views

Hello all! 

I have the same problem prompted in this thread.  

How can I compile the "fixed_float_types_c.vhdl", "fixed_pkg_c.vhdl" and "float_pkg_c.vhdl" files into the "ieee_proposed" library? 

A solution is to add them as external files (Settings menu-> Files -> Add), but I'd like to build up the library files so it would be more professional. 

 

Thank you all 

 

 

ps: I'm using Quartus II 9.0
0 Kudos
Altera_Forum
Honored Contributor II
855 Views

Quartus ignores design libraries, so there is no need to assign everything to libraries. Quartus is also pretty crap at doing it anyway. 

 

So I wouldnt bother.
0 Kudos
Altera_Forum
Honored Contributor II
855 Views

So the way o use them is to add this files as "Global User Libraries" in the Quartus II Options panel?

0 Kudos
Reply