Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20707 Discussions

Which bank 1 pins are 3,3V????

Altera_Forum
Honored Contributor II
1,176 Views

Hello everybody, 

 

does anybody know which pins in Cyclone III I/O bank# 1 are 3,3 V standard. First I thought the JTAG pins J1, J2, J5 and J6. But now I read that they are at 2,5 V? 

 

The background is that I get the error message: Error: Pin ... is incompatible with I/O bank . Pin uses I/O standard 2,5 V, which has a VCCIO requirement incompatible with that bank's VCCIO setting or its other pins that use VCCIO 3,3 V. 

 

If I switch all my used pins to 3,3 V standard and also the banks VCCIO then the compilation works, but this I do not want to do to all my designs. So I have to find out which pin is the one on 3,3V. I have already set all dual-purpose to "regular I/O"... 

 

I hope anybody knows... 

 

Thanks
0 Kudos
7 Replies
Altera_Forum
Honored Contributor II
469 Views

This error is more likely due to your own assignments. I would check one of the following situations: 

 

1 - You have assigned different I/O standards to different pins within BANK 1. 

2 - You have assigned an I/O standard (say 2.5V) to SOME pins in BANK 1 but not all and the default I/O standard for the project is set to 3.3V. 

 

Jake
0 Kudos
Altera_Forum
Honored Contributor II
469 Views

 

--- Quote Start ---  

 

If I switch all my used pins to 3,3 V standard and also the banks VCCIO then the compilation works, but this I do not want to do to all my designs. So I have to find out which pin is the one on 3,3V. I have already set all dual-purpose to "regular I/O"... 

 

--- Quote End ---  

 

 

Yes I know that this has to do with my own assignment, that is why I tried changing everything to 3,3 V and it worked. But I do not want to do it with all my designs...it seems that there is one pin in bank 1 of the Evaluation Kit, which cannot be switched to another voltage and my question now is if somebody knows which one??
0 Kudos
Altera_Forum
Honored Contributor II
469 Views

What is the "Default I/O standard" combo box setting on the Voltage tab under "Device and Pin Options" settings for the project? 

 

Jake
0 Kudos
Altera_Forum
Honored Contributor II
469 Views

When I got the error it was 2,5 V

0 Kudos
Altera_Forum
Honored Contributor II
469 Views

If it's actually one pin, and not resulting from an I/O standard you have assigned, it may be an AS interface pin (assuming you selected AS configuration in device settings). I recently reported a similar problem, it has been caused by a Quartus bug to my opinion. 

http://www.alteraforum.com/forum/showthread.php?t=2139 

If you selected AS configuration, change to passive serial, just for trial. Otherwise, you could assign no pins in bank 1 at all and check, what appears in fitter pin-out file then after compilation.
0 Kudos
Altera_Forum
Honored Contributor II
469 Views

Indeed...you are right. 

 

I switched all the voltages to 2,5V again and compiled it with AS -> ERROR! 

Then I switched to passive serial and it worked fine. 

 

Is there any further knowledge about this bug or has it been fixed somehow??
0 Kudos
Altera_Forum
Honored Contributor II
469 Views

May be I misunderstood your first post. Specifying AS configuration forces a bank1 VCCIO of 3.3V, that's O.K. so far. In my case, the AS serial flash loader IP required 2.5 V for one bank1 pin at the same time, I regarded this as a bug. It was said to be fixed in coming versions. 

 

Altera may optionally support AS memory with 2.5 V supply in future, than the behaviour must be changed. 

 

Specifying PS instead of AS configuration removes all configuration related IO voltage checks. AS can be used for the device anyway, active configuration scheme is defined by MSEL pin strapping only.
0 Kudos
Reply