Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16623 Discussions

Bug report: Quartus Pro 24.1 erroneously produces Critical Warning (24035)

roeekalinsky
Valued Contributor I
1,007 Views

In Quartus Pro 24.1, when importing a QDB partition and then later exporting a QDB partition at a higher level in the hierarchy, Critical Warning (24035) are erroneously generated.  Example:

 

Critical Warning (24035): The exported partition, "example_wrapper_level1_partition", has 2 ports being driven by the same source, "clk", outside it. Up to 10 such ports are listed below. Multiple ports sharing a source external to the partition may lead to routing conflicts in compiles that reuse this partition in another context.
Info (24036): example_wrapper_level1_i|example_core_i|clk.
Info (24036): example_wrapper_level1_i|clk.

 

Quartus appears to be getting confused by hierarchy.  For each actual port, it complains about a pair of ports being driven by the same source.  Whereas in fact, of the pair of ports it cites, only one is a port at the hierarchical level of the partition.  The other is merely a hierarchical connection of it inside the partition to a module one hierarchical level down, with nothing between them but a pass-through HDL wrapper making a direct connection.  Physically it's the same node.

 

I'm providing a minimal reproducible example design that demonstrates this issue.  Zip file attached.  See the README.txt in the zip file for further detail.

 

Note that Quartus Pro version 23.2 and earlier don't exhibit this issue.  This issue first appeared with Quartus Pro 23.3.  But while Quartus Pro 23.3 and 23.4 do exhibit this issue, they also have other known partition-related bugs that preclude running the minimal example I'm providing.  We can focus on Quartus Pro 24.1, the current version.

 

Note also that this issue came up previously as an incidental finding in an older thread, but this issue was not fully addressed/resolved there as it was not the central issue being discussed.  @SyafieqS , please rejoin/continue the discussion of it here and assist in resolving this issue.

 

Thanks,

-Roee

0 Kudos
10 Replies
ShengN_Intel
Employee
967 Views

Hi Roee,


Since the stub bug had been fixed in 24.1, you don't need that wrapper_level2 anymore as well.

Can go with your previous original design posted earlier. Then there'll be no critical warning anymore.


Thanks,

Regards,

Sheng


0 Kudos
roeekalinsky
Valued Contributor I
958 Views

Hi @ShengN_Intel ,

 

Thank you for your response.  But no, I think you may have misunderstood the context and relevance here.  As described at the conclusion of the other thread, the Critical Warning (24035) bug that still persists in Quartus Pro 24.1 proved to be independent of the two stub-related bugs that have been fixed.

 

The new design example that I've provided in this thread demonstrates this, and it does so without a stub file.  Again, at this point this is unrelated to any stub file issues.

 

The wrapper layers included in this new design example are not there for stub-related reasons.  They're there strictly to demonstrate this bug that still persists.

 

And it is not a question of "don't need the wrapper anymore".  This bug is not a don't care now.  It is still a bug, and it is still significant and relevant, and it manifests in other contexts that are still pertinent to my design efforts (and surely to others as well).  Not only with relation to the stub-related bugs that have been fixed, but independent of all that.

 

Thanks,

-Roee

0 Kudos
ShengN_Intel
Employee
936 Views

Hi Roee,


Understood that. Let me report again to internal developer and will get back to you asap once there's any further feedback.


Thanks,

Regards,

Sheng


0 Kudos
roeekalinsky
Valued Contributor I
898 Views

Thank you, @ShengN_Intel .  I'll look forward to hearing from you.

-Roee

0 Kudos
ShengN_Intel
Employee
563 Views

Hi Roee,

 

Please allow some time for the internal process.

0 Kudos
roeekalinsky
Valued Contributor I
554 Views

Ok, @ShengN_Intel , thanks for following up.  Please keep me posted.

Thanks,

-Roee

0 Kudos
ShengN_Intel
Employee
356 Views

Hi Roee,


Engineering team will need some times to look into the problem. Please allow some time for the internal process.


0 Kudos
ShengN_Intel
Employee
159 Views

Hi,


The problem is planned to be fixed at version 24.3.


Thanks,

Best Regards,

Sheng


0 Kudos
roeekalinsky
Valued Contributor I
113 Views

Great, thank you, @ShengN_Intel .  Do you a planned release date for 24.3?

0 Kudos
ShengN_Intel
Employee
109 Views

Hi Roee,


Release date for 24.3 will be approximately at end of Q3 which is September 2024.


Thanks,

Regards,

Sheng


0 Kudos
Reply