Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16642 Discussions

Embedded Multiplier vs Logic Elements

Altera_Forum
Honored Contributor II
1,511 Views

Hi everybody, 

 

I have a question on the synthesis of a multiplier written in VHDL. 

 

I have a module (in VHDL) which uses several multipliers. But when I run the Quartus compilation, thoses multipliers are synthesized into "Logic Elements" and not into "Embedded Multiplier 9-bit elements"...  

 

How can I "force" Quartus to generate my multipliers into Embedded Multiplier?? (I have lot of Embedded Multiplier elements available so it is not a limitation due to my FPGA..) 

 

Thanks!!
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
741 Views

You can set a synthesis attribute on architecture level. Follow the description in the Quartu software handbook. 

architecture rtl of MyUnit is attribute multstyle : string; attribute multstyle of rtl : architecture is "dsp";
0 Kudos
Reply